家庭用智能防盗报警系统设计毕业设计论文_第1页
家庭用智能防盗报警系统设计毕业设计论文_第2页
家庭用智能防盗报警系统设计毕业设计论文_第3页
家庭用智能防盗报警系统设计毕业设计论文_第4页
家庭用智能防盗报警系统设计毕业设计论文_第5页
已阅读5页,还剩43页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

摘要随着信息技术的飞速发展以及人们生活水平的大幅度提高,人们对住宅的需求已从追求简单的生存空间向着追求质量、功能、服务等多重需求过渡。同时,随着人们的保护意识不断增强,防盗措施的紧迫性也逐渐被提上了日程,防盗报警系统应运而生。本文设计了住宅智能化中的红外防盗报警系统。本系统以单片机89C51为核心,配以各种功能模块,来满足人们日常生活中的安全需求,本系统采用了热释电红外传感器,它的制作简单、成本低,安装比较方便,抗干扰力强、灵敏度高,而且安装较隐蔽,不易被发觉。该系统主要包括红外探测电路、LCD控制电路、声光报警电路及单片机控制电路等。自动检测功能由红外探测电路实现,当有盗贼穿过此装置时,红外传感器立即将检测到的信号经过信号处理后传送给主机电路,由主机来判断信号并驱动电路报警。报警功能由扬声器、蜂鸣器等发声装置实现,同时通过RS-485通信报警给小区警卫。本设计通过红外传感器探测人体红外线信号,从而达到报警的功能,满足了人们日常生活对防盗报警功能的需要。关键词:防盗报警,红外探测,RS-485通信,声光报警

ABSTRACTWiththerapiddevelopmentofcommunicationtechniqueandgreatimprovementofpeople’slivingstandard,theneedhasbeenchangedfromonlythelivingspacetomultiformneedsofquality,function,serviceandsoon.Atthesametime,astheincreasingofprotectingawareness,securitymeasureshavegraduallybeenputontheagenda.Anti-theftalarmsystemcameintobeing.Akindofintelligentinfraredanti-theftalarmsystemisdesignedforresidentialarea.Inordertomeetthesecurityneedsofdailylife,thissystemwithvariousfunctionmodulescoreswithmicrocontroller89C51.ThissystemusedPyroelectricinfraredsensor,italsohassomeadvantagessuchaseasymaking,lowcost,convenientinstallation,stronganti-interferenceability,highsensitivity,andamorehiddeninstallationanddifficulttofound.Thissystemincludesinfrareddetectingcircuit,LCDcontrolcircuit,soundandlightalarmcircuitandMCUcontrolcircuit.Automaticdetectioncanbecompletedbyinfrareddetectingcircuit.Whentheftisdetected,thesignalproducedbyinfraredsensorwillbetransmittedtothesignalprocessingmodule,andthenthiscircuitsendsthesignalreceivedtothemaincircuitwhichwilljudgeitanddrivethealarmmodule.Alarmfunctionisrealizedbyaudibledevicessuchasspeaker,buzzer,etc.,anditcanbetransmittedtosub-districtguardhousethroughRS-485communicationsalerting.Thissystemdetectstheinfraredsignalofhumanbodybyinfraredsensor,soithasthealarmingfunction.Thissystemmeetstheneedofanti-theftandalarminpeople’sdailylive.KEYWORDS:anti-theftandalarm,infrareddetecting,RS-485communication,soundandlightalarm毕业设计(论文)原创性声明和使用授权说明原创性声明本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。作者签名:日期:指导教师签名:日期:使用授权说明本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。作者签名:日期:

目录摘要 IABSTRACT II第1章绪论 11.1前言 11.2防盗报警系统在国内外的发展 11.3防盗报警器的发展前景与趋势 21.4设计任务与要求 2第2章系统方案设计 32.1系统总体设计思路 32.2系统方案设计 32.3传感器简介 42.3.1热释电红外传感器简介 42.3.2热释电红外传感器电路图 52.3.3被动式热释电红外探头的工作原理及特性 62.451系列单片机的内部组成 62.4.189C51单片机的内部组成 72.4.289C51单片机引脚及功能 82.5RS485接口 10第3章硬件设计 123.1电源电路设计 123.2热释电红外传感器原理 133.2.1传感器选型 133.3放大电路的设计 133.4红外探测信号输入电路 143.5键盘电路设计 173.6时钟电路的设计 173.7复位电路的设计 183.8声光报警电路的设计 183.9实时时钟电路 193.9.1实时时钟芯片DS1302的结构及工作原理 193.9.2引脚功能及结构 193.10液晶显示模块设计 203.10.112864模块引脚说明 213.10.2接口时序 223.11RS-485通信的设计 233.11.1RS-485芯片选型 233.11.2MAX485简介 233.11.3MAX485电路设计 25第4章软件设计 284.1软件的程序实现 284.2主程序工作流程图 284.2中断服务程序工作流程图 304.3报警电路子程序流程图 314.4显示电路子程序流程图 324.5信号采集电路子程序流程图 32第5章结论与展望 34致谢 35参考文献 36附录 37附录1硬件原理图 37附录2主要源程序代码 39第1章绪论1.1前言随着社会的不断进步和科学技术、经济的不断发展,人们生活水平得到很大的提高,对私有财产的保护意识在不断的增强,因而对防盗措施提出了新的要求。本设计就是为了满足现代住宅防盗的需要而设计的基于51单片机的家庭式电子防盗系统。1.2防盗报警系统在国内外的发展从上世纪初,报警系统就已经在北美稍具雏形。在北美,报警呼救箱放置在街头巷尾,在呼救时发出声响提示,以寻求附近警察的帮助;同时,这种呼救箱直接连接到附近的警局,使得稍远一些的警察也能够收到呼救信息。随后,由于通信技术的发展,提供远程通信服务的电报公司加入到这个行业中,从而使得报警信息可以通达到更远的地方;不过,这种电报方式毕竟难以普及,所以稍后出现的电话理所当然地成为报警通讯的主要手段。而此后自动拨号系统的出现以及电话普及到千家万户,更使得通过电话线报警的方式得到了前所未有的发展。从以上过程来看,报警行业的发展是以工业技术发展为基础的,只有具备良好的通信手段,才能够把各地的报警信息汇聚到相应的权威部门,然后由权威部门负责分配有限的警力来帮助到所有的社会个体。国外智能监控防盗技术发展已处于一个较高水平阶段,从具有代表性的北美发展过程,可以清楚的看出世界智能监控防盗技术的发展概况。其具有以下特点,值得我们借鉴。目前,对北美的安防产业来说,最成功的经营模式就是联网报警服务模式,联网报警将整个北美的安防产业从横向到纵向进行整合串并,形成了一个集中许多高科技手段和产业化管理水准的一体化综合性产业。比如世界排名第一,北美最大的安防跨国公司--美国棋诺亚公司,它在世纪年代开始搞简单的防盗报警,其当时的业务范围和技术水平跟中国现在很多安防企业是相当的。到70年代,它对其产业的整体发展方向做了很大的调整,变为联网报警服务商,建立了首家网管中心,尤其是在年代引用了大量的网管技术、系统集成技术和电子技术,现己成为十分先进的联网报警服务平台,它在美国、加拿大、英国、香港、台湾等多个国家和地区都有分公司,北美的客户数已超过600万,2003年防盗报警收入总产值达105亿美元。1.3防盗报警器的发展前景与趋势随着社会的发展,农村城镇化和人员流动性增大,社会治安状况更趋复杂,因此作为社会的基本单元“安全防范问题就显得尤为重要。传统的机械式(防盗网、防盗窗)家居防卫在实际使用中暴露出一些明显的问题,如:影响楼房美观,市容整洁;影响火灾救援通道;给犯罪分子提供了便利的翻越条件;时间久了会有高空坠物的危险等。所以作为新一代的智能安全防盗报警器系统就应运而生,并日益受到广泛的重视和运用。另外,为了进一步规范住宅小区智能化建设,建设部特别制定了智能小区的等级标准,按照其要求智能小区中必须具有安全防范、信息管理、物业管理和信息网络等系统。因此,小区安全防范系统建设已逐渐纳入许多小区建设的必备项目中。1.4设计任务与要求(1)该设计包括硬件和软件设计两个部分。模块划分为数据采集、键盘控制、报警等模块子函数。(2)本红外线防盗报警系统由热释电红外传感器、报警器、单片机控制电路、LCD控制电路及相关的控制管理软件组成。用户终端完成信息采集、处理、数据传送、功能设定、本地报警等功能。终端由中央处理器、输入模块、输出模块、通信模块、功能设定模块等部分组成。(3)系统可实现功能。当人员外出时,可把报警系统设置在外出布防状态,探测器工作起来,当有人闯入时,热释电红外传感器将探测到动作,设置在监测点上的红外探头将人体辐射的红外光谱变换成电信号,经放大电路、比较电路送至门限开关,打开门限阀门送出TTL电平至89C51单片机,经单片机处理运算后驱动执行报警电路使警号发声,并通过RS-485总线传送给小区警卫。

第2章系统方案设计2.1系统总体设计思路本系统由五路热释电红外探测器采集五路(门、窗、阳台等报警监测点)报警信号,将报警信号送入89C51控制芯片,触发声光报警,并通过串口通信将报警信号传给小区警卫,进行警情处理从而实现家庭用防盗报警系统的功能。基本工作原理如下:利用被动式热释电型红外传感器检测人体辐射的红外线,当检测到红外信号变化时,将其转化为微弱的电信号,经过信号处理电路对电信号进行滤波、放大、比较、输出高电平作为告警信息送给CPU,CPU判断是否报警,如果满足报警条件,就会发出控制信号,完成声音报警和发光报警。此外,CPU有一个全双工的串行通信口,需通过RS-485总线通信将报警区域的地址传送到小区监控中心的PC机上,监控中心可以及时的发现报警的具体地点,进行警情的处理。2.2系统方案设计本设计包括硬件和软件设计两个部分。模块划分为数据采集、键盘控制、报警等子模块。电路结构可划分为:热释电红外传感器、报警器、单片机控制电路、LCD控制电路及相关的控制管理软件组成。用户终端完成信息采集、处理、数据传送、功能设定、本地报警等功能。就此设计的核心模块来说,单片机就是设计的中心单元,所以此系统也是单片机应用系统的一种应用。单片机应用系统也是有硬件和软件组成。硬件包括单片机、输入/输出设备、以及外围应用电路等组成的系统,软件是各种工作程序的总称。单片机应用系统的研制过程包括总体设计、硬件设计、软件设计等几个阶段。从设计的要求来分析该设计须包含如下结构:热释电红外传感探头电路、报警电路、单片机、复位电路及相关的控制管理软件组成;它们之间的构成框图如图2.1总体设计框图所示:信号信号处理电路89C51单片机传感器1传感器5复位电路12864液晶显示小区警卫声光报警电路......RS485总线时钟电路图2.1总体设计框图处理器采用51系列单片机89C51。整个系统是在系统软件控制下工作的。设置在监测点上的红外探头将人体辐射的红外光谱变换成电信号,经放大电路、比较电路送至门限开关,打开门限阀门送出TTL电平至51单片机。在单片机内,经软件查询、识别判决等环节实时发出入侵报警状态控制信号。驱动电路将控制信号放大并推动声光报警设备完成相应动作。当报警延迟10s一段时间后自动解除,也可人工手动解除报警信号,当警情消除后复位电路使系统复位,或者是在声光报警10s钟后有定时器实现自动消除报警。2.3传感器简介2.3.1热释电红外传感器简介被动式红外探测器不需要附加红外辐射光源,本身不向外界发射任何能量,而是由探测器直接探测来自移动目标的红外辐射,因此才有被动式之称。被动式红外探测器是利用热释电效应进行探测的。被动式红外探测器又称为热释电红外探测器,其主要工作原理便是热释电效应。热释电效应是指如果使某些强介电质材料(如钦酸钡、钦错酸铅P(zT)等)的表面温度发生变化,则随着温度的上升或下降,材料表面发生极化,即表面上就会产生电荷的变化,从而使物质表面电荷失去平衡,最终电荷变化将以电压或电流形式输出[1]。热释电红外传感器通过接收移动人体辐射出的特定波长的红外线,可以将其转化为与人体运动速度,距离,方向有关的低频电信号。当热释电红外传感器受到红外辐射源的照射时,其内部敏感材料的温度将升高,极化强度减弱,表面电荷减少,通常将释放掉的这部分电荷称为热释电电荷。由于热释电电荷的多少可以反映出材料温度的变化,所以由热释电电荷经电路转变成的输出电压也同样可以反映出材料温度的变化,从而探测出红外辐射能量的变化。红外探测器的光学系统可以将来自多个方向的红外辐射能量聚焦在探测器上,这样红外探测器就可以探测到某一个立体探测空间内热辐射的变化。当防范区域内没有移动的人体时,由于所有的背景物体(如墙壁、家具等)在室温下红外辐射的能量比较小,而且基本上是稳定的,所以不能触发报警器。当有人体突然进入探测区域时,会造成红外辐射能量的突然变化,红外探测器将接收到的活动人体与背景物体之间的红外热辐射能量的变化转化为相应的电信号,电信号的大小,决定于敏感元件温度变化的快慢,经过后级比较器与状态控制器产生相应的输出信号U,送往报警器,发出报警信号。红外探测器的探测波长为8~14um,人体的红外辐射波长正好处于这个范围之内,因此能较好的探测到活动的人体。被动式红外探测器属于空间控制型探测器,其警戒范围在不同方向呈多个单波束状态,组成锥体感热区域,构成立体警戒。由于被动式红外技术具有监测距离较远,灵敏度较高,节能价廉等优点,本课题采用红外探测器作为报警探测器,并在设计中增加了自动声光报警的功能,使报警系统更加趋于完善。2.3.2热释电红外传感器电路图热释电红外线(PIR)传感器是80年代发展起来的一种新型高灵敏度探测元件。是一种能检测人体发射的红外线而输出电信号的传感器,它能组成防入侵报警器或各种自动化节能装置。它能以非接触形式检测出人体辐射的红外线能量的变化,并将其转换成电压信号输出。将这个电压信号加以放大,便可驱动各种控制电路。图2.2为热释电红外传感器的内部电路框图。图2.2热释电红外传感器的内部电路框图2.3.3被动式热释电红外探头的工作原理及特性人体的体温一般在37℃由于这种探头是以探测人体辐射为目标的,所以热释电元件对波长为10um左右的红外辐射必须非常敏感。为了仅仅对人体的红外辐射敏感,在它的辐射面通常覆盖有特殊的菲尼尔滤光片,使环境的干扰受到明显的控制作用。被动红外探头的传感器包含两个互相串联的热释电元,而且制成的两个电极化方向正好相反,环境背景辐射对两个热释元件几乎具有相同的作用,使其产生的释电效应相互抵消,因此探测器无信号输出。一旦人侵入探测区域内,人体红外辐射通过部分镜面聚焦,并被热释电元件接收,但是两片热释电元接收到的热量不同,热释电也不同,不能抵消,经信号处理后即可报警。根据性能要求不同,菲尼尔滤光片具有不同的焦距(感应距离),从而产生不同的监控视场,视场越多,控制越严密。2.451系列单片机的内部组成AT89C51单片机是美国Atmel公司生产低电压,高性能CMOS8位单片机,片内含4kbytes的可反复擦写的只读程序存储器(EPROM)和128bytes的随机存取数据存储器(RAM),器件采用Atmel公司的高密度、非易失性存取技术生产,兼容标准MCS-51指令系统,片内置通用8位中央处理器(CPU)和Flash存储单元,功能强大。AT89C51单片机可提供许多高性价比的应用场合,可灵活应用于各种控制领域[2]。MCS-51单片机是在一块芯片中集成了CPU,RAM,ROM、定时器/计数器和多种功能的I/O线等一台计算机所需要的基本功能部件。MCS-51单片机内包含下列几个部件:MCS—51系列单片机的典型芯片是89C51,所以以89C51为例来介绍MCS—51系列单片机。8位的CPU,片内有振荡器和时钟电路,工作频率为1~12MHz(Atmel89Cxx为0~24MHz)片内有128/256字节RAM片内有0K/4K/8K字节程序存储器ROM可寻址片外64K字节数据存储器RAM可寻址片外64K字节程序存储器ROM片内21/26个特殊功能寄存器(SFR)4个8位的并行I/O口(PIO)1个全双工串行口(SIO/UART)2/3个16位定时器/计数器(TIMER/COUNTER)可处理5/6个中断源,两级中断优先级内置1个布尔处理器和1个布尔累加器(Cy)MCS-51指令集含111条指令[3]2.4.189C51单片机的内部组成图2.3画出了89C51单片机的内部系统组成的基本框图:外时钟源外部事件计数2X16位定2X16位定时/计数器时钟电路256BRAM4KBROM时钟电路256BRAM4KBROM89C89C51单片机中断控制串行接口并行接口64KB中断控制串行接口并行接口64KB总线控制器内中断INT0INT1控制并行口串行通讯图2.3MCS—51单片机系统组成基本框图由图2.3可以看出,MCS—51系列单片机89C51是由中央处理器CPU、随机存储器RAM、只读存储器ROM、输入/输出(I/O)口电路、定时器/计数器等若干部件组成,再配置一定的外围电路,如时钟电路、复位电路等,即可构成一个基本的微型计算机系统[4]。2.4.289C51单片机引脚及功能共40条引脚,分为端口、控制、电源三类:(1)端口线:4个8位端口共32条引脚,用于传输数据、地址、控制、状态等信息。P0口(P0.0~P0.7):多功能端口,用于传输数据、地址。P0口在传输数据信息时,输入带缓冲、输出带锁存,使用非常方便。P1口(P1.0~P1.7):单功能端口,用于数据输入/输出传输。P2口(P2.0~P2.7):多功能端口,用于传输地址信息或作为普通I/O端口。P3口(P3.0~P3.7):多功能端口,用于传输控制信息或作为普通I/O端口。传输控制信息时: P3.0:RXD,串口输入 P3.1:TXD,串口数出 P3.2:INT0,外部中断0输入 P3.3:INT1,外部中断1输入 P3.4:T0,计数器0输入 P3.5:T1,计数器1输入 P3.6:WR,“写”控制信号线 P3.7:RD,“读”控制信号线(2)电源线:共2条,VCC、VSS(GND)。(3)控制线:共6条,传送控制信号。ALE:地址锁存,用于区分在多功能端口传送的数据/地址信息,ALE=0/1:数据/地址。EA:允许访问外程序存储器。EA=0/1:片外/片内存储器有效。PSEN:片外ROM选通。RST:芯片复位线。XTAL1、XTAL2:外接石英晶体输入线[5]。图2.4为89C51单片机的引脚图:图2.489C51单片机引脚结构2.5RS485接口RS485采用差分信号负逻辑,+2V~+6V表示“1”,-6V~-2V表示“0”。RS485有两线制和四线制两种接线,四线制是全双工通讯方式,两线制是半双工通讯方式。在RS485通信网络中一般采用的是主从通信方式,即一个主机带多个从机。主要特点为:单+5V电源供电;低功耗时工作电流120~500uA,静态电流120uA;关闭方式,由低电流关机模式,静态电流为0.1uA;驱动器有过载保护功能;共模输入电压范围-7~+12V。RS485总线电气性能如下表2.1所示:表2.1RS-485总线具体参数性能指标RS485总线工作模式差分传输(平衡传输)允许的收发器数目32(受芯片驱动能力限制)最大电缆长度4000英尺(1219米)最高数据速率10Mbps最小驱动输出电压范围±1.5V最大驱动输出电压范围±5V最大输出短路电流250mA最大输入电流1.0mA/12Vin驱动器输出阻抗54欧输入端电容≤50pF接收器输入灵敏度±200mV接收器最小输入阻抗12k接收器输入电压范围-7V~+12V接收器输出逻辑高>200mV接收器输出逻辑低<200mV

第3章硬件设计3.1电源电路设计电源电路的功能介绍:此电路在整个设计中起着很重要的作用,是提供器械运转的原动力。此电源为直流稳压电源包括降压、整流、滤波、稳压三部分,最终将电网中220V的交流电压转换为5V的直流电压提供给后面的工作电路。在整个电路中电源部分起到重要的作用,如果电源部分不能实现整个电路都不能运行。(1)电源变压器:是降压变压器,它将电网220V交流电压变换成较低的交流电压,并送给整流电路,变压器的变比由变压器的副边电压确定。变压器副边与原边的功率比为P2/P1=η,式中η是变压器的效率。在本电源电路中取η的数值为22,故降压后副边电压值为10V。(2)整流电路:利用D1~D44个二极管组成的一个桥式整流电路,将50Hz的正弦交流电转化成脉动的直流电。整流后的电压约为9V。(3)滤波电路:可以将整流电路输出电压中的交流成分大部分通过C101加以滤除,从而得到比较平滑的直流电压。(4)稳压电路:芯片7805稳压电路的功能是使输出的直流电压稳定,不随交流电网电压波动和负载电阻的变化而变化。本稳压电源可作为TTL电路或单片机电路的电源[6]。三端稳压器,主要有两种,一种输出电压是固定的,称为固定输出三端稳压器,另一种输出电压是可调的,称为可调输出三端稳太器,其基本原理相同,均采用串联型稳压电路。在线性集成稳压器中,由于三端稳压器只有三个引出端子,具有外接元件少,使用方便,性能稳定,价格低廉等优点,因而得到广泛应用。三端稳压器,主要有两种,一种输出电压是固定的,称为固定输出三端稳压器,另一种输出电压是可调的,称为可调输也三端稳太器,其基本原理相同,均采用串联型稳压电路。LM7805简介:LM7805是常用的三段稳压器,一般使用的是TO-220封装,能提供DC5V的输出电压,应用范围广,内含过流和过载保护电路[7]。图3.1直流稳压电源电路3.2热释电红外传感器原理3.2.1传感器选型传感器采用双元热释电红外检测元件RE200B,如图3.2所示。该传感器翻用热释电材料极化随温度变化的特殊探测红外辐射,并采用双灵敏元互补方法抑制干扰,以提高传感器的工作温度。其内部电路如下:1脚接工作电压,其工作电压低且范围宽(2.2~15V);2脚为输出源极电压;3脚为公共地。使用时,一般在2脚与3脚之间加47KΩ的源极电阻,但应根据实际情况,适当调整源极电阻[8]。图3.2RE200B红外检测元件内部电路图3.3放大电路的设计如图3.3所示为最基本的放大电路,Vi是输入电压信号,Vo是输出放大的电压信号。由于本模块考虑到模块实用性的问题,所以运放芯片采用低电压、单电源、低功耗LMV324芯片,如图3.3所示。LMV324功耗是比同类产品低120μA;在5V时,其典型工作电流为100μA。该运放芯片工作电压为2.5~5.5V,采用轨到轨的输出。LMV324的引脚和NS、TI和Maxim的LMV3XX系列兼容,因此可直接替换。当LMV324工作在5V时,带宽为1.4MHz,转换速率为1.5V/us。图3.3LMV324引脚及功能图3.4红外探测信号输入电路红外探测信号输入部分由红外线传感器、信号放大电路、电压比较器、数字信号输入电路组成。当工作中的红外线传感器J1探测到前方人体辐射出的红外线信号时,由J1的S端引脚输出微弱的电信号(1~10Hz),经三极管Q1等组成第一级放大电路放大(见图3.4),再通过C2输入到运算放大器U1A中进行高增益、低噪声放大(见图3.5),此时由U1A输出的信号已足够强。如图3.6所示,U1B是电压比较器,二级放大信号OUT2由运放芯片U1B中5脚输入,R6、R7、R9、D1组成基准电压电路,输入信号与反向输入端基准电压比较,一旦有盗贼闯入监控的范围内,热释红外线传感器监测到信号后,发出一个微弱的交变信号,经两级交流放大后,与基准电压进行比较,此时,经过放大的信号大于基准电压[9]。通过U1B的比较,其输出电平为运放工作电压高电平5V,三极管Q2导通,J2输出为低电平;当OUT2端输入没有信号时,输出为0V,所以三极管Q2截止,J2引脚输出为高电平。调试时,在红外线传感器前人走动,调整R9,直到J2引脚输出为低电平[9]。各电路如图3.4到图3.7所示。图3.4第一级放大电路图图3.4中,R1是源极电阻,其阻值可以根据实际情况进行调整;产生的微弱信号由S9014进行放大。S9014是NPN型三极管,其IC静态工作电流达100mA,放大倍数最大可达1000倍。R3给S9014提供静态基极电压。放大后的信号由C2耦合到下一级。图3.5二级放大电路图图3.6电压比较器电路图图3.7中,用三极管S9013把OUT3的信号转换成单片机的入口电平信号。其主要原因是,当产生报警信号后,OUT3输出约为5V的工作电压,需要用三极管将其转换成低电平。这样,当有报警信号时,J2引脚输出低电平,将给单片机一个低电平,而这样一个低电平信号将使单片机退出低功耗状态,同时唤醒整个电路;而没有报警时,将输出持续的高电平。图3.7数字信号输入电路3.5键盘电路设计实时时钟显示电路由三个独立的按键组成,其中这三个独立的按键与单片机的P1.0~P1.2口连接,S2为功能键,S3为加一键,S4为减一键,可通过控制按键实现所需的实时日历时钟功能。按键电路如下图3.8所示:图3.8键盘电路3.6时钟电路的设计XTAL1和XTAL2分别为反向放大器的输入和输出。该反向放大器可以配置为片内振荡器。石晶振荡和陶瓷振荡均可采用。如采用外部时钟源驱动器件,XTAL2应不接。因为一个机器周期含有6个状态周期,而每个状态周期为2个振荡周期,所以一个机器周期共有12个振荡周期,如果外接石英晶体振荡器的振荡频率为12MHZ,一个振荡周期为1/12us,故而一个机器周期为1us。图3.9为时钟电路。图3.9时钟电路图3.7复位电路的设计复位方法一般有上电自动复位和外部按键手动复位,单片机在时钟电路工作以后,在RESET端持续给出2个机器周期的高电平时就可以完成复位操作。例如使用晶振频率为12MHz时,则复位信号持续时间应不小于2us。本设计采用的是外部手动按键复位电路。图3.10为复位电路。图3.10复位电路图3.8声光报警电路的设计如下图3.11所示,报警电路控制端由单片机的P2.4端来完成,高电平有效。当P2.4输出高电平时,NPN三极管导通,驱动扬声器产生声音报警信号,同时电路中的LED导通,发光二极管被点亮,进行发光报警[10]。图3.11声光报警电路图3.9实时时钟电路3.9.1实时时钟芯片DS1302的结构及工作原理DS1302是美国DALLAS公司推出的一种高性能、低功耗、带RAM的实时时钟电路,它可以对年、月、日、周日、时、分、秒进行计时,具有闰年补偿功能,工作电压为2.5V~5.5V。采用三线接口与CPU进行同步通信,并可采用突发方式一次传送多个字节的时钟信号或RAM数据。DS1302内部有一个31×8的用于临时性存放数据的RAM寄存器。DS1302是DS1202的升级产品,与DS1202兼容,但增加了主电源/后背电源双电源引脚,同时提供了对后背电源进行涓细电流充电的能力。3.9.2引脚功能及结构DS1302的引脚排列,其中VCC1为后备电源,VCC为主电源。在主电源关闭的情况下,也能保持时钟的连续运行。DS1302由VCC1或VCC两者中的较大者供电。当VCC大于VCC1+0.2V时,VCC给DS1302供电。当VCC小于VCC1时,DS1302由VCC1供电。X1和X2是振荡源,外接32.768kHz晶振。RST是复位/片选线,通过把RST输入驱动置高电平来启动所有的数据传送。RST输入有两种功能:首先,RST接通控制逻辑,允许地址/命令序列送入移位寄存器;其次,RST提供终止单字节或多字节数据的传送手段。当RST为高电平时,所有的数据传送被初始化,允许对DS1302进行操作。如果在传送过程中RST置为低电平,则会终止此次数据传送,I/O引脚变为高阻态。上电运行时,在VCC>2.0V之前,RST必须保持低电平。只有在SCLK为低电平时,才能将RST置为高电平。I/O为串行数据输入输出端(双向),后面有详细说明。SCLK为时钟输入端[11]。图3.12为DS1302的引脚功能图:图3.12实时时钟电路3.10液晶显示模块设计12864汉字图形点阵液晶显示模块,可显示汉字及图形,内置8192个中文汉字(16X16点阵)、128个字符(8X16点阵)及64X256点阵显示RAM(GDRAM)。图3.13为12864模块的引脚结构及其与单片机的连接[12]:图3.1312864引脚结构和接口3.10.112864模块引脚说明12864点阵液晶显示模块(LCM)就是由128*64个液晶显示点组成的一个128列*64行的阵列。每个显示点对应一位二进制数,1表示亮,0表示灭。存储这些点阵信息的RAM称为显示数据存储器。要显示某个图形或汉字就是将相应的点阵信息写入到相应的存储单元中。图形或汉字的点阵信息由自己设计,问题的关键就是显示点在液晶屏上的位置(行和列)与其在存储器中的地址之间的关系。由于多数液晶显示模块的驱动电路是由一片行驱动器和两片列驱动器构成,所以12864液晶屏实际上是由左右两块独立的64*64液晶屏拼接而成,每半屏有一个512*8bits显示数据RAM。下表3.1所示具体说明了12864模块的引脚结构:逻辑工作电压(VDD):4.5~5.5V电源地(GND):0V工作温度(Ta):0~60℃(常温)/-20~75℃(宽温)[12]表3.112864模块引脚说明引脚号引脚名称功能说明1VCC模块的电源地2VDD模块的电源正端3VLLCD驱动电压输入端(调对比度)4RS(CS)并行的数据(H)/指令(L)选择信号(串行的片选信号)5R/W(SID)并行的读(H)/写(L)选择信号(串行的数据口)6E(CLK)并行的使能信号(串行的同步时钟)7DB0并行数据08DB1并行数据19DB2并行数据210DB3并行数据311DB4并行数据412DB5并行数据513DB6并行数据614DB7并行数据715PSB并/串行接口选择:H-并行;L-串行16NC空脚17/RST复位低电平有效18NC空脚19LED_A背光源正极20LED_K背光源负极3.10.2接口时序8位并行连接时序图:(1)MPU写资料到模块图3.14MPU写资料到模块(2)MPU从模块读出资料图3.15MPU从模块读出资料3.11RS-485通信的设计3.11.1RS-485芯片选型由于PC机是系统的主控机,主机PC和单片机之间采用的是RS-485总线标准进行通信,所以在电路设计时采用RS-485通信收发器芯片为MAX485,它是MAXIM公司生产的用于RS-485通信的低功率收发器件,半双工通信方式,每种芯片都有一个驱动器和一个收发器组成。3.11.2MAX485简介MAX485是一个8个引脚的芯片,它是一个标准的RS485收发器,只能进行半双工的通讯,内含一个输出驱动器和一个信号接收器。MAX485具有低功耗设计,静态电流仅为300uA。MAX485具有三态输出特性,在使用MAX485时,总线最多可以同时连接32个MAX485芯片。图3.16是MAX485的俯视图和逻辑图。图3.16MAX485逻辑图下面是MAX485的引脚定义:RO(引脚1):接收信号的输出引脚。可以把来自A和B引脚的总线信号,输出给单片机。是COMS电平,可以直接连接到单片机。RE(引脚2):接收信号的控制引脚。当这个引脚低电平时,RO引脚有效,MAX485通过RO把来自总线的信号输出到单片机;当这个引脚高电平时,RO引脚处于高阻状态。DE(引脚3):输出信号的控制引脚。当这个引脚低电平时,输出驱动器无效;当这个引脚高电平时,输出驱动器有效,来自DI引脚的输出信号通过A和B引脚被加载到总线上。是COMS电平,可以直接连接到单片机。DI(引脚4):输出驱动器的输入引脚。是COMS电平,可以直接连接到单片机。当DE是高电平时,这个引脚的信号通过A和B脚被加载给总线。GND(引脚5):电源地线。A(引脚6):连接到RS485总线的A端。B(引脚7):连接到RS485总线的B端。VCC(引脚8):电源线引脚。图3.17MAX485典型半双工RS-485网络3.11.3MAX485电路设计RS485总线长距离通讯时易受强信号干扰,所以应加保护措施,可选择的方法如下隔离保护方法:采用高频变压器、光耦等元件实现接口的电气隔离。将瞬态高压转移到隔离接口中的电隔离层上,不会产生损害性的浪涌电流,起到保护接口的作用。电路设计中可以考虑采用高速光耦6N137芯片,也可以优化普通光耦电路参数的设计,使之能工作在最佳状态。(1)6N137简介6N137光耦合器是一款用于单通道的高速光耦合器,其内部有一个850nm波长AlGaAsLED和一个集成检测器组成,其检测器由一个光敏二极管、高增益线性运放及一个、肖特基钳位的集电极开路的三极管组成。具有温度、电流和电压补偿功能,高的输入输出隔离,LSTTL/TTL兼容,高速(典型为10MBd),5mA的极小输入电流。(2)6N137工作参数最大输入电流,低电平:250uA最大输入电流,高电平:15mA最大允许低电平电压(输出高):0.8v最大允许高电平电压:VCC最大电源电压、输出:5.5V扇出(TTL负载):8个(最多)工作温度范围:-40°C~+85°C典型应用:高速数字开关,马达控制系统和A/D转换等6N137光耦合器的内部结构、管脚如下图所示。图3.186N137内部结构

需要注意的是,在6N137光耦合器的电源管脚旁应有—个0.1uF的去耦电容。在选择电容类型时,应尽量选择高频特性好的电容器,如陶瓷电容或钽电容,并且尽量靠近6N137光耦合器的电源管脚;另外,输入使能管脚在芯片内部已有上拉电阻,无需再外接上拉电阻。

6N137光耦合器的使用需要注意两点:第一是6N137光耦合器的第6脚Vo输出电路属于集电极开路电路,必须上拉一个电阻;第二是6N137光耦合器的第2脚和第3脚之间是一个LED,必须串接一个限流电阻。(3)工作原理信号从脚2和脚3输入,发光二极管发光,经片内光通道传到光敏二极管,反向偏置的光敏管光照后导通,经电流-电压转换后送到与门的一个输入端,与门的另一个输入为使能端,当使能端为高时与门输出高电平,经输出三极管反向后光电隔离器输出低电平。当输入信号电流小于触发阈值或使能端为低时,输出高电平,但这个逻辑高是集电极开路的,可针对接收电路加上拉电阻或电压调整电路。脚6是集电极开路输出端,通常加上拉电阻RL。虽然输出低电平时可吸收电路达13mA,但仍应当根据后级输入电路的需要选择阻值。因为电阻太小会使\o"标签6N137下的日志"6N137耗电增大,加大对电源的冲击,使旁路电容无法吸收,而干扰整个模块的电源,甚至把尖峰噪声带到地线上,一般可选2K~5.1kΩ,若后级是TTL输入电路,且只有1到2个负载,则用47kΩ或15kΩ也行。图3.1为RS-485通信与单片机的电路连接:图3.19MAX485与单片机电路的连接

第4章软件设计4.1软件的程序实现整个系统的功能是由硬件电路配合软件来实现的,当硬件基本定型后,软件的相应子程序模块就大体定下来了。从软件的功能不同可分为两大类:一是监控软件(主程序),它是整个控制系统的核心,专门用来协调各执行模块和操作者的关系。二是执行软件(子程序),它是用来完成各种实质性的功能如测量、计算、显示、通讯等。每一个执行软件也就是一个小的功能执行模块。下面分别说明各个子程序的编写原理:声光报警子程序:当搜索到报警要求的信号后,调用报警子程序即可完成报警功能。其报警原理:控制三极管的导通和关断时间来驱动蜂鸣器发声,输出高电平信号使发光二极管发光。串行口通信子程序:单片机和微机进行通信时,首先要设置串行口的波特率为9600,1位停止位,无奇偶校验。串口通信程序可以采用查询和中断方式,由于单片机发送子程序的查询和中断方式的资源占用是一样的,故发送采用查询,接收子程序采用中断[13]。4.2主程序工作流程图按上述工作原理和硬件结构分析可知系统主程序工作流程图如下图4.1所示:结束是否还有检测信号等待下次报警声光报警结束声光报警是否持续10秒启动声光报警电路开始报警开始检测有无信号输入系统初始化q结束是否还有检测信号等待下次报警声光报警结束声光报警是否持续10秒启动声光报警电路开始报警开始检测有无信号输入系统初始化q图4.1主程序工作流程图主程序代码设计:MAIN:MOVIE,#81H;CPU开放中断,INT0允许中断SETBIT0;外部中断为边沿触发方式MOVSP,#30H;指针入口地址SETBP3.0CLRP3.1MOVP1,#0FFH;使P1口全部置1MOVP2,#00H;P2口清零CLRP1.2LP:JNBP1.0,LA;监测输入信号,是否有输入信号LA:ACALLDELAY;延时消抖JNBP1.0,ALARM;再次监测输入信号,若有输入信号转入报警子程序AJMPLPDELAY:MOVR1,0AAHLD2:MOVR2,0BBHLD1:NOPDJNZR2,LD1DJNZR1,LD2RET4.2中断服务程序工作流程图本主程序实现的功能是:当单片机检测到外部热释电传感器送来的脉冲信号后,表示有人闯入监控区,从而经过单片机内部程序处理后,驱动声光报警电路开始报警,报警持续10秒钟后自动停止报警,然后程序开始循环工作,检测是否还有下次触发信号,等待报警从而使报警器进入连续工作状态。同时,利用中断方式可以实现报警持续时间未到10秒时,用手工按键停止的声光报警的作用。手工按键停止报警中断服务程序工作流程图[14],如下图4.2所示;中断源发出中断申请中断源发出中断申请关中断、保护现场INT0端有输入信号关闭报警恢复现场、开中断中断返回图4.2中断服务程序工作流程图4.3报警电路子程序流程图报警电路控制端由单片机的P2.4端来完成,高电平有效。当P2.4输出高电平时,NPN三极管导通,驱动扬声器产生声音报警信号,同时电路中的LED导通,发光二极管被点亮,进行发光报警。声光报警电路子程序流程图如下图4.3所示:开始初始化P2.4是否为高电平电路启动声光报警报警结束开始初始化P2.4是否为高电平电路启动声光报警报警结束图4.3报警电路子程序流程图子程序设计:PINT0:CLREX0;外部中断0服务程序开始,屏蔽外部中断PUSHPSWPUSHACCJNBP3.2,LN;监测是否有中断输入LN:LCALLDELAY;延时消抖JNBP3.2,LN1AJMPLN2;无中断输入,中断返回LN1:SETBP3.0CLRP3.1CLRP1.2;使报警结束,指示灯亮POPACCPOPPSWSETBEX0;开放外部中断0LCALLLP;在中断继续检测是否有输入信号LN2:RETIEND4.4显示电路子程序流程图本设计除了实现防盗报警的功能外,还具有实时日历时钟显示的功能。在设计中采用了液晶12864显示模块[15]。下图4.4所示为显示电路子程序流程图:开始A0是否为高电平初始化LCD实时时钟显示显示结束开始A0是否为高电平初始化LCD实时时钟显示显示结束图4.4显示电路子程序流程图4.5信号采集电路子程序流程图本设计需要采集五路报警信号(门、窗、阳台等报经检测点),设计中采用了热释电红外传感器进行输入信号的采集。图4.5为信号采集流程图。开始开始信号经处理输出高电平盗贼入侵初始化单片机INT0口进行数据采集采集完毕?信号采集结束图4.5信号采集电路子程序流程图

第5章结论与展望本设计研究了一种基于51单片机技术的家庭智能防盗报警器。该防盗报警器通过以89C51单片机为工作处理器核心,外接热释电红外传感器,它是一种新颖的被动式红外探测器件,能够以非接触方式探测出人体发出的红外辐射,并将其转化为相应的电信号输出,同时能有效的抑制人体辐射波长以外的红外光线与可见光的干扰。平时传感器输出低电平,当有人在探测区范围内移动时输出低电平变为高电平,此高电平输入单片机,作为单片机的外部触发信号处理,经单片机内部软件编程处理后,单片机输出控制信号,驱动声光报警电路开始报警。该报警器的最大特点就是使用户能够操作简单、易懂、灵活;且安装方便、智能性高、误报率低。随着现代人们安全意识的增强以及科学技术的快速发展,相信报警器必将在更广阔的领域得到更深层次的应用。经过这次毕业设计,使我觉得不论从理论知识还是从实际操纵中都学到了不少知识。经过这次毕业设计,它让我接触更多平时没有接触过的科学仪器设备、元器件以及获得相关的仪器调试经验,同时我也发现自己在这方面很多不足之处。体会到理论知识对实践有很大的指导作用,学会了高效率的查阅资料、运用工具书、利用网络查找资料,各种参数都需要自己去调整。偶尔还会遇到错误的资料现象,这就要求我们应更加注重实践环节。在毕业设计中,我们应当注意重点与细节的关系。

致谢四年的读书生活在这个季节即将画上一个句号,而于我的人生却只是一个逗号,我将面对又一次征程的开始。四年的求学生涯在师长、亲友的大力支持下,走的辛苦却也收获满囊。本研究及学位论文是在我的导师庞中华老师的亲切关怀和悉心指导下完成的。他严肃的科学态度,严谨的治学精神,精益求精的工作作风,深深地感染和激励着我。庞老师不仅在学业上给我以精心指导,同时还在思想、生活上给我以无微不至的关怀,在此谨向老师致以诚挚的敬意和崇高的敬意。我还要感谢在一起愉快的度过毕业论文小组的同学们,正是由于你们的帮助和支持,我才能克服一个一个的困难和疑惑,直至本文的顺利完成。在论文即将付梓之际,思绪万千,心情久久不能平静。从开始进入课题到论文的顺利完成,由多少可敬的师长、同学、朋友给了我无言的帮助,在这里请接受我诚挚的谢意!最后,再次对关心、帮助我的老师和同学表示衷心的感谢!

参考文献唐文彦.传感器.哈尔滨工业大学出版社,2007.15~16伊念东.单片机基础实用教程.中国地质大学出版社,2005赵茂泰.智能仪器原理及应用.电子工业出版社,1999.43~46吴政江.单片机控制红外线防盗报警器.锦州师范学院学报,2001,27(12):55~59宋文绪.传感器与检测技术.高等教育出版社,2004李全利.单片机原理及接口技术.北京航空航天大学出版社,2004.75~78薛均义.张彦斌.MCS-51系列单片微型计算机及其应用.西安交通大学出版社,2005康华光.电子技术基础(模拟部分).高等教育出版社,2004徐爱钧,彭秀华.单片机高级语言C51应用程序设计.北京:北京航空航天大学出版社,2006.97~99邓凯.智能化住宅安防系统的应用.冶金矿山设计与建设,2000.98~99赵晶.Prote199高级应用.北京:人民邮电出版社,2000.101~103VizimullerP.RFdesignguide-systems,circuits,andequations,Boston:1995YangY.,YiJ.,WooY.,andKimB.OptimumdesignforlinearityandefficiencyofmicrowaveDohertyamplifierusinganewloadmatchingtechnique,Boston:2001,44(12),20~36MichaelR.Anti-theftdevicewithalarmscreening,Houston:InternationalSocietyforExperimentalHematology,1974.44~46宋万杰,罗丰,吴顺君.CPLD技术及其应用.西安:西安电子科技大学出版社,1999附录附录1硬件原理图附录2主要源程序代码ORG0000HLJMPMAINORG0003HLJMPPINT0ORG0200H MAIN:MOVIE,#81H;CPU开放中断,INT0允许中断SETBIT0;外部中断为边沿触发方式MOVSP,#30H;指针入口地址SETBP3.0CLRP3.1MOVP1,#0FFH;使P1口全部置1MOVP2,#00H;P2口清零CLRP1.2LP:JNBP1.0,LA;监测输入信号,是否有输入信号LA:ACALLDELAY;延时消抖JNBP1.0,ALARM;再次监测输入信号,若有输入信号转入报警子程序;串口中断处理UARTI: PUSH ACC;保存寄存器 PUSH PSW JNB RI,EXIT;接收标志不为真,则退出 CLR ES ;关中断 MOV A,SBUF;接收数据 MOV A,#LED_DATA ADD A,R5 MOV R0,A MOV A,R2 MOV @R0,A INC R5 MOV R5,#00HU1: ;反馈接收成功标志 CLR TI MOV SBUF,A ;返回接收成功标志,oxffLOOP: JNB TI,LOOP CLR RI ;清除接收标志 SETBES ;开中断EXIT:POP PSW POP ACC ;恢复寄存器 RETI ;串口中断处理结束AJMPLPDELAY:MOVR1,0AAHLD2:MOVR2,0BBHLD1:NOPDJNZR2,LD1DJNZR1,LD2RETALARM:SETBP1.2;开始报警使运行正常报警启动CPLP3.0CPLP3.1;10s钟定时:MOV51H,#14H;10s循环次数MOVTMOD,#01H;定时器T0定时方式1MOVTL0,#0B0H;置50ms定时初值MOVTH0,#3CHSETBTR0;启动T0L2:JBCTF0,L1;查询记数溢出SJMPL2L1:MOVTL0#0B0HMOVTH0#3CHDJNZ51H,L2;未到10s继续循环SETBP3.0;10s到关闭报警CLRP3.1CLRP1.2;报警结束,正常运行LJMPLP;循环,继续工作外部中断INTO服务程序:PINT0:CLREX0;外部中断0服务程序开始,屏蔽外部中断PUSHPSWPUSHACCJNBP3.2,LN;监测是否有中断输入LN:LCALLDELAY;延时消抖JNBP3.2,LN1AJMPLN2;无中断输入,中断返回LN1:SETBP3.0CLRP3.1CLRP1.2;使报警结束,指示灯亮POPACCPOPPSWSETBEX0;开放外部中断0LCALLLP;在中断继续检测是否有输入信号LN2:RETIEND

学位论文原创性声明本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。作者签名: 日期:年月日学位论文版权使用授权书本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。涉密论文按学校规定处理。作者签名: 日期:年月日导师签名:日期:年月日

致谢时间飞逝,大学的学习生活很快就要过去,在这四年的学习生活中,收获了很多,而这些成绩的取得是和一直关心帮助我的人分不开的。首先非常感谢学校开设这个课题,为本人日后从事计算机方面的工作提供了经验,奠定了基础。本次毕业设计大概持续了半年,现在终于到结尾了。本次毕业设计是对我大学四年学习下来最好的检验。经过这次毕业设计,我的能力有了很大的提高,比如操作能力、分析问题的能力、合作精神、严谨的工作作风等方方面面都有很大的进步。这期间凝聚了很多人的心血,在此我表示由衷的感谢。没有他们的帮助,我将无法顺利完成这次设计。首先,我要特别感谢我的知道郭谦功老师对我的悉心指导,在我的论文书写及设计过程中给了我大量的帮助和指导,为我理清了设计思路和操作方法,并对我所做的课题提出了有效的改进方案。郭谦功老师渊博的知识、严谨的作风和诲人不倦的态度给我留下了深刻的印象。从他身上,我学到了许多能受益终生的东西。再次对周巍老师表示衷心的感谢。其次,我要感谢大学四年中所有的任课老师和辅导员在学习期间对我的严格要求,感谢他们对我学习上和生活上的帮助,使我了解了许多专业知识和为人的道理,能够在今后的生活道路上有继续奋斗的力量。另外,我还要感谢大学四年和我一起走过的同学朋友对我的关心与支持,与他们一起学习、生活,让我在大学期间生活的很充实,给我留下了很多难忘的回忆。最后,我要感谢我的父母对我的关系和理解,如果没有他们在我的学习生涯中的无私奉献和默默支持,我将无法顺利完成今天的学业。致谢四年的大学生活就快走入尾声,我们的校园生活就要划上句号,心中是无尽的难舍与眷恋。从这里走出,对我的人生来说,将是踏上一个新的征程,要把所学的知识应用到实际工作中去。回首四年,取得了些许成绩,生活中有快乐也有艰辛。感谢老师四年来对我孜孜不倦的教诲,对我成长的关心和爱护。学友情深,情同兄妹。四年的风风雨雨,我们一同走过,充满着关爱,给我留下了值得珍藏的最美好的记忆。在我的十几年求学历程里,离不开父母的鼓励和支持,是他们辛勤的劳作,无私的付出,为我创造良好的学习条件,我才能顺利完成完成学业,感激他们一直以来对我的抚养与培育。最后,我要特别感谢我的导师刘望蜀老师、和研究生助教吴子仪老师。是他们在我毕业的最后关头给了我们巨大的帮助与鼓励,给了我很多解决问题的思路,在此表示衷心的感激。老师们认真负责的工作态度,严谨的治学精神和深厚的理论水平都使我收益匪浅。他无论在理论上还是在实践中,都给与我很大的帮助,使我得到不少的提高这对于我以后的工作和学习都有一种巨大的帮助,感谢他耐心的辅导。在论文的撰写过程中老师们给予我很大的帮助,帮助解决了不少的难点,使得论文能够及时完成,这里一并表示真诚的感谢。基于C8051F单片机直流电动机反馈控制系统的设计与研究基于单片机的嵌入式Web服务器的研究MOTOROLA单片机MC68HC(8)05PV8/A内嵌EEPROM的工艺和制程方法及对良率的影响研究基于模糊控制的电阻钎焊单片机温度控制系统的研制基于MCS-51系列单片机的通用控制模块的研究基于单片机实现的供暖系统最佳启停自校正(STR)调节器单片机控制的二级倒立摆系统的研究基于增强型51系列单片机的TCP/IP协议栈的实现基于单片机的蓄电池自动监测系统基于32位嵌入式单片机系统的图像采集与处理技术的研究基于单片机的作物营养诊断专家系统的研究基于单片机的交流伺服电机运动控制系统研究与开发基于单片机的泵管内壁硬度测试仪的研制基于单片机的自动找平控制系统研究基于C8051F040单

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论