交通灯控制器VHDL设计_第1页
交通灯控制器VHDL设计_第2页
交通灯控制器VHDL设计_第3页
交通灯控制器VHDL设计_第4页
交通灯控制器VHDL设计_第5页
已阅读5页,还剩11页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

交通信号控制器VHDL设计

2014.12.72设计构思1.设计一个南北方向为主干道,东西方向为支干道;2.选择一个标准时钟发生电路,为电路提供一个标准1HZ信号;3.(1)交通灯从绿变红时,有5秒黄灯亮的间隔时间;

(2)交通灯红变绿是直接进行的,没有间隔时间;

(3)主干道上的绿灯时间为50秒,支干道的绿灯时间为30秒;设计内容交通信号控制器的VHDL源程序交通信号控制器的仿真波形3具体要求4设计一个交通信号控制器。原理框图如下5设计过程选择我们所要制作的课程设计题目;用QuartusⅡ9.0写出交通信号控制器的VHDL源程序代码;将程序代码编译完成后对其波形进行仿真;分析并验证功能仿真6

系统功能用VHDL编写程序实现交通信号控制器以下功能:(1)交通灯从绿变红时,有5秒黄灯亮的间隔时间;(2)交通灯红变绿是直接进行的,没有间隔时间;(3)主干道上的绿灯时间为50秒,支干道的绿灯时间为30秒

用VHDL编写程序实现交通信号控制器的端口控制信号。其中,clk为标准的1HZ的时钟信号;R为复位信号;SPC为紧急情况信号,负责紧急情况的处理,当紧急情况发生时,南北主干道和东西支干道均显示红灯。该程序定义了4个状态S0,S1,S2,S3。当状态为S0时,南北方向亮绿灯,东西方向亮红灯,50s;当为状态为S1时,南北方向亮黄灯,东西方向亮红,5s;当状态为S2时,南北方向亮红灯,东西方向绿灯,30s;当状态为S3时,南北方向亮红灯,东西方向黄灯,5s;程序还设计了一个紧急信号情况,当遭遇紧急情况时,主干道和支干道都亮红灯。7程序说明8VHDL设计代码LIBRARY

IEEE;

USE

IEEE.STD_LOGIC_1164.ALL;

USE

IEEE.STD_LOGIC_UNSIGNED.ALL;

USE

IEEE.STD_LOGIC_ARITH;

ENTITY

TRAFFIC2

IS

PORT

(CLK,R,SPC:

IN

STD_LOGIC;

--时钟、复位、特殊情况

LIGHT:

OUT

STD_LOGIC_VECTOR(5

DOWNTO

0);

--light:G1,R1,Y1,G2,R2,Y2;

Q1,Q2

:

OUT

INTEGER

RANGE

0

TO

55);

END

TRAFFIC2

;

ARCHITECTURE

behav

OF

TRAFFIC2

IS

TYPE

STATES

IS

(S0,S1,S2,S3);

--定义枚举

SIGNAL

STATE

:

STATES

;

SIGNAL

T1,T2:

INTEGER

RANGE

0

TO

55;

BEGIN

9P1:

PROCESS

(CLK,STATE)

BEGIN

IF

R='1'THEN

STATE<=S0;T1<=50;T2<=55;

--复位

ELSIF

SPC='1'

THEN

LIGHT<="010010";

--特殊情况亮红灯

ELSIF

CLK'EVENT

AND

CLK='1'

THEN

CASE

STATE

IS

--states为s0时,南北方向亮绿灯,东西方向亮红灯,50s

WHEN

S0=>

LIGHT<="100010";

T1<=T1-1;T2<=T2-1;

Q1<=T1;Q2<=T2;

IF

T1=0

THEN

10

STATE<=S1;T1<=5;T2<=5;

END

IF;

--states为s1时,南北方向亮黄灯,东西方向亮红,5s

WHEN

S1=>

LIGHT<="001010";

T1<=T1-1;T2<=T2-1;

Q1<=T1;Q2<=T2;

IF

T1=0

THEN

STATE<=S2;T1<=35;T2<=30;

END

IF;

--states为s2时,南北方向亮红灯,东西方向绿灯,30s

WHEN

S2=>

LIGHT<="010100";

T1<=T1-1;T2<=T2-1;

Q1<=T1;Q2<=T2;

IF

T2=0

THEN

STATE<=S3;T1<=5;T2<=5;

11

STATE<=S3;T1<=5;T2<=5;

END

IF;

--states为s3时,南北方向亮红灯,东西方向黄灯,5s

WHEN

S3=>

LIGHT<="010001";

T1<=T1-1;T2<=T2-1;

Q1<=T1;Q2<=T2;

IF

T1=0

THEN

STATE<=S0;T1<=50;T2<=55;

END

IF;

WHEN

OTHERS=>STATE<=S0;T1<=50;T2<=55;--主干道

END

CASE;

END

IF;

END

PROCESS;

END

behav;

1213

仿真波形14总结通过这次实训,我们在程序的功能,源程序修改以及最后的硬件调试波形仿真中取得了更深一步的成就,通过理论

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论