eda复习资料整理_第1页
eda复习资料整理_第2页
eda复习资料整理_第3页
eda复习资料整理_第4页
全文预览已结束

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

本文格式为Word版,下载可任意编辑——eda复习资料整理

名词解释“

ASIC(applicationspecificintegratedcircuit)专用集成电路SOC(systemonachip)单片电子系统

EDA(electronicdesignautomation)电子设计自动化HDL(hardwaredescriptionlanguage)硬件描述语言

FPGA(fieldprogrammablegatearray)现场可编程门阵列

CPLD(complexprogrammablelogicdevice)现场可编程规律器件CBIC(cellbasedintegratedcircuits)基于单元的集成电路IP(intellectualproperty)知识产权

RTL(registertransportlevel寄放传输级

PROM(programmablereadonlymemory可编程序的只读存储器PIA(programmableinterconnectarray可编程直线阵LUT(lookuptable查找表

PLD(programmablelogicdevices可编程规律器件填空

1、正确的基于EDA软件的FPGA/CPLD设计流程:原理图/HDL文本输入→功能仿真→综合→适配→编程下载→硬件测试。

2、VHDL程序的5个组成部分分别为:实体(Entity)、构造体(Architecture)、配置(Configuration)、包集合(Package)、库(Library)。3、CPLD的可编程是主要基于什么结构:可编程与或阵列。

4、VHDL描述行为的语句中有并行语句和顺序语句之分。只能当顺序语句使用的描述语句有好多,试列举出其中三种:IF语句、CASE语句、FOR循环语句(或:WHILE循环语句、无条件循环LOOP语句)。

5、IP核在EDA技术和开发中具有十分重要的地位,以HDL方式提供的IP被称为:软IP核(或软核)。

6、VHDL的并行信号赋值语句,除了常见的一般信号赋值语句(如:Ca,y=>b,co=>d,so=>e);u2:h_adderportmap(x=>e,y=>c,co=>f,so=>sum);u3:or2aportmap(x=>d,y=>f,z=>cout);endarchitecturefd1;十进制加法计数器LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYCNT10IS

PORT(CLK,RST,EN:INSTD_LOGIC;CQ:OUTSTD_LOGIC_VECTOR(3DOWNTO0);COUT:0UTSTD_LOGIC);ENDENTITYCNT10;

ARCHITECTUREbehavOFCNT10ISBEGIN

PROCESS(CLK,RST,EN)

VARIABLECQI:STD_LOGIC_VECTOR(3DOWNTO0);BEGIN

IFRST=‘1’THENCQI:=(OTHERS=>‘0’);ELSIFCLK’EVENTANDCLK=‘1’THENIFEN=‘1’THENIFCQI‘0’);ENDIF;ENDIF;ENDIF;

IFCQI=9THENCOUT'0');elsifclk'eventandclk='1'thenif(c=\if(c=\if(c=\endif;

k1q'Z');endcase;endprocess;

endtri_state_body;

signalcontrol:std_logic_vector(1downto

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论