多通道高密度的TDCFPGA主要指标时间测量精度课件_第1页
多通道高密度的TDCFPGA主要指标时间测量精度课件_第2页
多通道高密度的TDCFPGA主要指标时间测量精度课件_第3页
多通道高密度的TDCFPGA主要指标时间测量精度课件_第4页
多通道高密度的TDCFPGA主要指标时间测量精度课件_第5页
已阅读5页,还剩69页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

主要内容基于进位延时单元内插的TDC@FPGA10ps高精度TDC@FPGAIP基于TDC@FPGA的通用模块16通道100psTDC@FPGA通用插件高密度高精度时间测量插件基于ACTEL的TDC@FPGA高精度时间测量技术的应用主要内容基于进位延时单元内插的TDC@FPGA基于进位延时链的TDC@FPGA工作原理CoarseCounter(CoarseTime)+TimeInterpolationwithinoneclockperiod(FineTime)(a)(b)基于进位延时链的TDC@FPGA工作原理CoarseCou时间内插技术在FPGA中的实现方法采用FPGA内的进位延时单元实现内插b)Carrychainofamulti-bitaddera)Carry-ininaSlicec)RoutinaSLICE时间内插技术在FPGA中的实现方法采用FPGA内的进位延基于FPGA进位单元的TDC研究进展2005年:~100psBinSize,50psRMS;

TNSVol.53,Issue1,Part2

国际上第一次采用进位延时单元实现时间内插2009年:~50psBinSize,<20psRMS;TNSVol.57,Issue2,Part1

时间测量性能修正算法:自校准,温度和电压变化补偿2011年:~10psBinSize(Effective),<10psRMSTNSVol.58,Issue4,Part2

基于吴进远的WaveUnionTDC,进行了深入分析和改进基于FPGA进位单元的TDC研究进展2005年:~100p1:Unleash1212Device:EP2C8T144C6PlainTDC:Max.binwidth:160ps.Averagebinwidth:60ps.WaveUnionTDCA:Max.binwidth:65ps.Averagebinwidth:30ps.WaveUnion

TDCbyJ.Wu1:Unleash1212Device:EP2C8T14WaveUnionTDC国内外现状德国GSI:WaveUnionTypeA:<10psRMSIEEETNSVol.58,Issue4,Part1,pp.1547-1552清华:WaveUnionTypeA:~20psRMSIEEENSS/MIC2010,pp.396-399高能所:科大:WaveUnionTypeB:<10psRMSIEEETNSVol.58,Issue4,Part2,pp.2011-2018

WaveUnionTDC国内外现状德国GSI:WaveINV+Delay+MUXWaveUnionLauncher10-psTDC@FPGA工作原理INV+Delay+MUXWaveUnionLaunch10-psFPGATDC的主要特性WaveUnionLauncher内嵌在进位链,不占用额外资源无限振荡次数(N)BinSize,RMSv.s.N主要技术指标•9独立通道,~60%逻辑资源(XC4VFX60)•24Bits粗计数,~168ms动态范围•<10psRMS,Binsize~10ps(N=4)

10-psFPGATDC的主要特性WaveUnionL10-psTDC@FPGA的数据处理NtimesOscillation10-psTDC@FPGA的数据处理NtimesOsRMStimingprecision(σdelay)vs.N,误差主要来自:•Non-uniformeddistributionofthecarrychaindelay(σcell)•Randomuncertaintyoftheoscillationperiod(σosc)

•Othercontributors,e.g.thesteadyoftheclock(σother)

Threepossiblecases:•Case1:σosc<<σcell•Case2:σosc≈σcell

Thebesttiming@•Case3:σosc>>σcell10-psTDC的时间测量分析RMStimingprecision(σdelay)时间测量精度仿真和测试的比较Test:RMSvs.NCase1:σosc<<σcell

Case2:σosc≈σcellCase3:σosc>>σcell

321Simulation:RMSvs.NActualimplementationfallsintoCase2(a)(b)(c)时间测量精度仿真和测试的比较Test:RMSvs.NC10-psTDC@FPGA应用考虑ProsandCons

√LargerNresultsinsmallerbinsize,lowertimingprecision

×LargerNresultsinlargerdeadtime~(N+1)*TCLK,

NoAveragingN=4B:~325psA:~350ps10-psTDC@FPGA应用考虑ProsandCo主要内容基于进位延时单元内插的TDC@FPGA10ps高精度TDC@FPGAIP基于TDC@FPGA的通用模块16通道100psTDC@FPGA通用插件高密度高精度时间测量插件基于ACTEL的TDC@FPGA高精度时间测量技术的应用主要内容基于进位延时单元内插的TDC@FPGA基于FPGA的高性能时间测量插件研制~50psRMS,100psBin

•NIM,USB,otherplatforms•16Channels,~170msDynamicrange•single-endedinput,Rangefrom-5V~5V,withon-boardfastdiscriminator

基于FPGA的高性能时间测量插件研制~50psRMS,TDCLogicIPDesign,+TriggerMatching•~170msDynamicrange•LVDSinput•9ChannelsinXC4VFX60,•

~20psRMS,50psBincostlessthan20%ofthetotallogicelements(total50kLUTsandRegistersavailableinXC4VFX60)

•<10psRMS,12psBin,cost60%ofthetotallogicelements

基于FPGA的高性能时间测量插件研制温度补偿TDCLogicIPDesign,+TriggerTDC@Virtex5FPGA通用高性能插件(PXI,VME)•~25psRMS,30psBin•~170msDynamicrange•LVDSinput,16channels,onPXI,VME•TriggerMatching

RMS:14ps基于FPGA的高性能时间测量插件研制TDC@Virtex5FPGA通用高性能插件(PXI,TDC@FPGAPXIModuleTDC@FPGATestSetupRMS:14psUSTC基于FPGA的高性能通用时间测量插件TDC@FPGAVMEModuleTDC@FPGAPXIModuleTDC@FPGAT多通道高密度TDC@FPGA较高的集成度单板单FPGA实现64个TDC通道粗-细结合的时间测量计数器实现“粗”时间测量大动态范围时钟分相技术实现“细”时间测量较高时间测量精度64通道TDC多通道高密度TDC@FPGA较高的集成度64通道TDC多通道高密度的TDC@FPGA主要指标时间测量精度:<0.5nsRMS最小时间分辨:0.757ns(BIN)时间测量动态范围:>1s通道数:64时间测量通道资源占用:逻辑资源占用56%,全局总线占用25%基于VIRTEX4系列的XC4VLX60-10FF1148数据输出接口:USB传输电源提供:外部提供单电源5V,2A已测试的连续稳定工作时间:>5小时多通道高密度的TDC@FPGA主要指标时间测量精度:<0.时钟分相技术测量‘细’时间时钟4分相,可以获得1/4时钟周期的BinSize利用4个分相时钟驱动锁存器,对Hit进行测量获得“细”时间Hit落入不同的Bin,所对应不同的“细”时间编码时钟分相技术测量‘细’时间时钟4分相,可以获得1/4时钟周期利用流水线结构降低时钟频率A部分输出为4bit*330MHz,变为C部分输出为32bit*41.25MHz降低时钟频率可以提高粗计数器位数,扩展动态范围时钟分相技术测量‘细’时间利用流水线结构降低时钟频率时钟分相技术测量‘细’时间多通道高密度TDC@FPGA测试结果11、通道0与通道1的时间精度2、通道1~8在分别0~60ns延迟时的时间精度

最大值为0.42539ns3、利用AFG3252信号源校准TDC的TimeBin1TimeBin=0.75767ns该项目已通过“中国工程物理研究院”验收各道计数时间精度RMSRMS:0.42nsDelay(0-60ns)TDC测量值ns信号源延时(0-60ns)多通道高密度TDC@FPGA测试结果11、通道0与通道1主要内容基于进位延时单元内插的TDC@FPGA10ps高精度FPGATDCIP基于TDC@FPGA的通用模块16通道100psFPGATDC通用插件高密度高精度时间测量插件基于ACTEL的TDC@FPGA高精度时间测量技术的应用主要内容基于进位延时单元内插的TDC@FPGA空间物理实验中时间测量的特殊要求特殊要求:元器件的抗辐照性能低空物理实验中的时间测量PAMELA实验,时间测量精度~50psAMS-02Mission,时间测量精度~25ps深空物理实验中的时间测量

Cluster、FAST实验,时间测量精度~1nsROSETTAMission,时间测量精度~2ns嫦娥探月2期探月三维成像敏感器

空间物理实验中时间测量的特殊要求特殊要求:元器件的抗辐照性能基于ACTELFPGA-basedTDCACTELFPGA根据内部结构不同Flash型包括IGLOO系列、PROASIC系列、PROAISC3(E)系列等等反熔丝型AXCELERATOR系列、SX_A系列、RTAX_SSL系列等等TDC测量原理:粗计数+细计数细计数:Flash型利用FPGA内部BufferBinSize~440ps(仿真结果为670ps)反熔丝型可利用内部进位链资源仿真结果显示BinSize~80ps粗计数:16-bitcounter测量时间范围~1.6ms基于ACTELFPGA-basedTDCACTELFP基于ACTELFPGA-basedTDC验证系统ACTELFPGA:A3PE1500单芯片实现8-channels高精度时间数字转换利用USB总线实现与上位机的通讯,传输测量数据及控制命令基于ACTELFPGA-basedTDC验证系统ACTE基于ACTELTDC@FPGA性能TDC单通道微分非线性和积分非线性微分非线性最大值100ps,主要分布在±50ps的范围内积分非线性最大值也为100ps,主要分布在±100ps的范围基于ACTELTDC@FPGA性能TDC单通道微分非线性和时间精度统计直方图RMS~130ps通道间延时与测试精度关系曲线——黄线:未修正时间精度——蓝线:修正后时间精度修正后测量精度从200ps提高至140ps左右基于ACTELTDC@FPGA性能测试框架示意图时间精度统计直方图通道间延时与测试精度关系曲线基于ACTEL主要内容基于进位延时单元内插的TDC@FPGA10ps高精度TDC@FPGAIP基于TDC@FPGA的通用模块16通道100psTDC@FPGA通用插件高密度高精度时间测量插件基于ACTEL的TDC@FPGA高精度时间测量技术的应用主要内容基于进位延时单元内插的TDC@FPGATDIG插件1.工作在甚高精度模式下的HPTDC实现高精度的时间测量;2.每个插件用9片HPTDC实现72个通道的高密度测量。北京BESIIITOF端盖升级TDIG插件1.工作在甚高精度模式下的HPTDC实现高精度的TDIG插件TDIG插件实物图1.信号输入-3个高密度连接器-24通道/连接器2.时间测量:9HPTDCs,RMS<20ps4.CPLD

-VME接口,与FPGA通信3.FPGA-配置9片HPTDC-读取HPTDC的数据,并

对数据进行组装和打包TDIG插件TDIG插件实物图1.信号输入2.时间测量:9TDIG插件的测量结果测试板TDIG插件5米电缆三通VME机箱信号源延迟线法测量TDIG插件的性能@2011年3月份科大快电子学实验室某个通道的数据统计直方图24个通道的RMS值散点图TDIG插件的测量结果测试板TDIG插件5米电缆三通VMETDIG插件宇宙线测试T0/TriggerT0/TriggerFEEGasMixtureHVDisc/CoinPC9U/6UVMETDIGVMEBridgeQDCETOF-MRPC2011年5月份,科大TDIG插件宇宙线测试T0/TriggerT0/Trigge宇宙线测量结果某个通道的时间测量精度24个通道的RMS值散点图宇宙线测量结果某个通道的时间测量精度24个通道的RMS值散点束流实验测试结果Proton束流测试的部分结果2011年6月份,北京高能所束流实验测试结果Proton束流测试的部分结果2011年6月其他应用中国工程物理研究院64通道TDC0.75nsBin,<0.5nsRMS德国CBM

TOF电子学16通道TOT测量,30psBin,<20psRMS自由空间量子通信地面验证系统

50

ps

Bin,<50psRMS西藏羊八井LHAASOWCDA18通道数字化插件WhiteRabbitProject的改进

其他应用中国工程物理研究院64通道TDCThanks!Thanks!主要内容基于进位延时单元内插的TDC@FPGA10ps高精度TDC@FPGAIP基于TDC@FPGA的通用模块16通道100psTDC@FPGA通用插件高密度高精度时间测量插件基于ACTEL的TDC@FPGA高精度时间测量技术的应用主要内容基于进位延时单元内插的TDC@FPGA基于进位延时链的TDC@FPGA工作原理CoarseCounter(CoarseTime)+TimeInterpolationwithinoneclockperiod(FineTime)(a)(b)基于进位延时链的TDC@FPGA工作原理CoarseCou时间内插技术在FPGA中的实现方法采用FPGA内的进位延时单元实现内插b)Carrychainofamulti-bitaddera)Carry-ininaSlicec)RoutinaSLICE时间内插技术在FPGA中的实现方法采用FPGA内的进位延基于FPGA进位单元的TDC研究进展2005年:~100psBinSize,50psRMS;

TNSVol.53,Issue1,Part2

国际上第一次采用进位延时单元实现时间内插2009年:~50psBinSize,<20psRMS;TNSVol.57,Issue2,Part1

时间测量性能修正算法:自校准,温度和电压变化补偿2011年:~10psBinSize(Effective),<10psRMSTNSVol.58,Issue4,Part2

基于吴进远的WaveUnionTDC,进行了深入分析和改进基于FPGA进位单元的TDC研究进展2005年:~100p1:Unleash1212Device:EP2C8T144C6PlainTDC:Max.binwidth:160ps.Averagebinwidth:60ps.WaveUnionTDCA:Max.binwidth:65ps.Averagebinwidth:30ps.WaveUnion

TDCbyJ.Wu1:Unleash1212Device:EP2C8T14WaveUnionTDC国内外现状德国GSI:WaveUnionTypeA:<10psRMSIEEETNSVol.58,Issue4,Part1,pp.1547-1552清华:WaveUnionTypeA:~20psRMSIEEENSS/MIC2010,pp.396-399高能所:科大:WaveUnionTypeB:<10psRMSIEEETNSVol.58,Issue4,Part2,pp.2011-2018

WaveUnionTDC国内外现状德国GSI:WaveINV+Delay+MUXWaveUnionLauncher10-psTDC@FPGA工作原理INV+Delay+MUXWaveUnionLaunch10-psFPGATDC的主要特性WaveUnionLauncher内嵌在进位链,不占用额外资源无限振荡次数(N)BinSize,RMSv.s.N主要技术指标•9独立通道,~60%逻辑资源(XC4VFX60)•24Bits粗计数,~168ms动态范围•<10psRMS,Binsize~10ps(N=4)

10-psFPGATDC的主要特性WaveUnionL10-psTDC@FPGA的数据处理NtimesOscillation10-psTDC@FPGA的数据处理NtimesOsRMStimingprecision(σdelay)vs.N,误差主要来自:•Non-uniformeddistributionofthecarrychaindelay(σcell)•Randomuncertaintyoftheoscillationperiod(σosc)

•Othercontributors,e.g.thesteadyoftheclock(σother)

Threepossiblecases:•Case1:σosc<<σcell•Case2:σosc≈σcell

Thebesttiming@•Case3:σosc>>σcell10-psTDC的时间测量分析RMStimingprecision(σdelay)时间测量精度仿真和测试的比较Test:RMSvs.NCase1:σosc<<σcell

Case2:σosc≈σcellCase3:σosc>>σcell

321Simulation:RMSvs.NActualimplementationfallsintoCase2(a)(b)(c)时间测量精度仿真和测试的比较Test:RMSvs.NC10-psTDC@FPGA应用考虑ProsandCons

√LargerNresultsinsmallerbinsize,lowertimingprecision

×LargerNresultsinlargerdeadtime~(N+1)*TCLK,

NoAveragingN=4B:~325psA:~350ps10-psTDC@FPGA应用考虑ProsandCo主要内容基于进位延时单元内插的TDC@FPGA10ps高精度TDC@FPGAIP基于TDC@FPGA的通用模块16通道100psTDC@FPGA通用插件高密度高精度时间测量插件基于ACTEL的TDC@FPGA高精度时间测量技术的应用主要内容基于进位延时单元内插的TDC@FPGA基于FPGA的高性能时间测量插件研制~50psRMS,100psBin

•NIM,USB,otherplatforms•16Channels,~170msDynamicrange•single-endedinput,Rangefrom-5V~5V,withon-boardfastdiscriminator

基于FPGA的高性能时间测量插件研制~50psRMS,TDCLogicIPDesign,+TriggerMatching•~170msDynamicrange•LVDSinput•9ChannelsinXC4VFX60,•

~20psRMS,50psBincostlessthan20%ofthetotallogicelements(total50kLUTsandRegistersavailableinXC4VFX60)

•<10psRMS,12psBin,cost60%ofthetotallogicelements

基于FPGA的高性能时间测量插件研制温度补偿TDCLogicIPDesign,+TriggerTDC@Virtex5FPGA通用高性能插件(PXI,VME)•~25psRMS,30psBin•~170msDynamicrange•LVDSinput,16channels,onPXI,VME•TriggerMatching

RMS:14ps基于FPGA的高性能时间测量插件研制TDC@Virtex5FPGA通用高性能插件(PXI,TDC@FPGAPXIModuleTDC@FPGATestSetupRMS:14psUSTC基于FPGA的高性能通用时间测量插件TDC@FPGAVMEModuleTDC@FPGAPXIModuleTDC@FPGAT多通道高密度TDC@FPGA较高的集成度单板单FPGA实现64个TDC通道粗-细结合的时间测量计数器实现“粗”时间测量大动态范围时钟分相技术实现“细”时间测量较高时间测量精度64通道TDC多通道高密度TDC@FPGA较高的集成度64通道TDC多通道高密度的TDC@FPGA主要指标时间测量精度:<0.5nsRMS最小时间分辨:0.757ns(BIN)时间测量动态范围:>1s通道数:64时间测量通道资源占用:逻辑资源占用56%,全局总线占用25%基于VIRTEX4系列的XC4VLX60-10FF1148数据输出接口:USB传输电源提供:外部提供单电源5V,2A已测试的连续稳定工作时间:>5小时多通道高密度的TDC@FPGA主要指标时间测量精度:<0.时钟分相技术测量‘细’时间时钟4分相,可以获得1/4时钟周期的BinSize利用4个分相时钟驱动锁存器,对Hit进行测量获得“细”时间Hit落入不同的Bin,所对应不同的“细”时间编码时钟分相技术测量‘细’时间时钟4分相,可以获得1/4时钟周期利用流水线结构降低时钟频率A部分输出为4bit*330MHz,变为C部分输出为32bit*41.25MHz降低时钟频率可以提高粗计数器位数,扩展动态范围时钟分相技术测量‘细’时间利用流水线结构降低时钟频率时钟分相技术测量‘细’时间多通道高密度TDC@FPGA测试结果11、通道0与通道1的时间精度2、通道1~8在分别0~60ns延迟时的时间精度

最大值为0.42539ns3、利用AFG3252信号源校准TDC的TimeBin1TimeBin=0.75767ns该项目已通过“中国工程物理研究院”验收各道计数时间精度RMSRMS:0.42nsDelay(0-60ns)TDC测量值ns信号源延时(0-60ns)多通道高密度TDC@FPGA测试结果11、通道0与通道1主要内容基于进位延时单元内插的TDC@FPGA10ps高精度FPGATDCIP基于TDC@FPGA的通用模块16通道100psFPGATDC通用插件高密度高精度时间测量插件基于ACTEL的TDC@FPGA高精度时间测量技术的应用主要内容基于进位延时单元内插的TDC@FPGA空间物理实验中时间测量的特殊要求特殊要求:元器件的抗辐照性能低空物理实验中的时间测量PAMELA实验,时间测量精度~50psAMS-02Mission,时间测量精度~25ps深空物理实验中的时间测量

Cluster、FAST实验,时间测量精度~1nsROSETTAMission,时间测量精度~2ns嫦娥探月2期探月三维成像敏感器

空间物理实验中时间测量的特殊要求特殊要求:元器件的抗辐照性能基于ACTELFPGA-basedTDCACTELFPGA根据内部结构不同Flash型包括IGLOO系列、PROASIC系列、PROAISC3(E)系列等等反熔丝型AXCELERATOR系列、SX_A系列、RTAX_SSL系列等等TDC测量原理:粗计数+细计数细计数:Flash型利用FPGA内部BufferBinSize~440ps(仿真结果为670ps)反熔丝型可利用内部进位链资源仿真结果显示BinSize~80ps粗计数:16-bitcounter测量时间范围~1.6ms基于ACTELFPGA-basedTDCACTELFP基于ACTELFPGA-basedTDC验证系统ACTELFPGA:A3PE1500单芯片实现8-channels高精度时间数字转换利用USB总线实现与上位机的通讯,传输测量数据及控制命令基于ACTELFPGA-basedTDC验证系统ACTE基于ACTELTDC@FPGA性能TDC单通道微分非线性和积分非线性微分非线性最

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论