数字逻辑:第六章 数字系统2_第1页
数字逻辑:第六章 数字系统2_第2页
数字逻辑:第六章 数字系统2_第3页
数字逻辑:第六章 数字系统2_第4页
数字逻辑:第六章 数字系统2_第5页
已阅读5页,还剩18页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

例:设计计数型8位累加器的控制器。1)逻辑划分控制器、寄存器、加法器。2个八位寄存器:A(加数),B(加数、和);1个一位寄存器:C(进位)八位全加器:一个控制器。2)数据通路控制器RC加法器RARBLBLACLRLCADDLAS0S3S1S2C清零,X送AX送BY送A加法,S送B,t送CS0S3S1S2C清零,X送AX送BY送A加法,S送B,t送CS0S3S1S2C清零,X送AX送BY送A加法,S送B,t送CS0S3S1S2C清零,X送AX送BY送A加法,S送B,t送CS0S3S1S2C清零,X送AX送BY送A加法,S送B,t送CS0:打入命令LA(脉冲),清零CLR(电位);S1:打入命令LB(脉冲);S2:打入命令LA(脉冲);S3:加法命令ADD(电位),打入命令LB(脉冲),LC(脉冲)3)控制器的ASM图S0S3S1S2LA,CLRX送BY送A加法,S送B,t送CS0S3S1S2LA,CLRLBY送A加法,S送B,t送CS0S3S1S2LA,CLRLBLA加法,S送B,t送CS0S3S1S2LA,CLRLBLAADD,LB,LCS0S3S1S2LA,CLRLBLAADD,LB,LCT1T2S0S3S1S2LA,CLRX送BY送A加法,S送B,t送CS0S3S1S2LA,CLRLBY送A加法,S送B,t送CS0S3S1S2LA,CLRLBLA加法,S送B,t送CS0S3S1S2LA,CLRLBLAADD,LB,LCS0S3S1S2LA,CLRLBLAADD,LB,LC4)设计控制器

由ASM图得控制信号表达式:

给ASM图的状态框编码(Q2Q1)S0S3S1S2LA,CLRX送BY送A加法,S送B,t送CS0S3S1S2LA,CLRLBY送A加法,S送B,t送CS0S3S1S2LA,CLRLBLA加法,S送B,t送CS0S3S1S2LA,CLRLBLAADD,LB,LCS0S3S1S2LA,CLRLBLAADD,LB,LC00011110

控制器的状态转移表:0001011111101011Q2nQ1nQ2n+1Q1n+1转移条件

触发器驱动方程:S0S3S1S2LA,CLRX送BY送A加法,S送B,t送CS0S3S1S2LA,CLRLBY送A加法,S送B,t送CS0S3S1S2LA,CLRLBLA加法,S送B,t送CS0S3S1S2LA,CLRLBLAADD,LB,LCS0S3S1S2LA,CLRLBLAADD,LB,LC00011110注意:控制信号是电位有效还是脉冲有效,如果是脉冲有效,必须和节拍脉冲T2相“与”。LC21T2LBLA2.定序型控制器适用于状态数少的控制器。n个控制状态需n个触发器1)给ASM图的状态框分配触发器:2)由ASM图得控制信号表达式:3)控制器的MDS表:4)触发器的次态方程、激励函数:每一个控制状态分配给一个触发器。特点:控制命令译码电路简单1)分配触发器:3)MDS表:4)次态方程:Q1n+1=Q2n+Q3nQ2n+1=Q1nXQ3n+1=Q1nX5)电路实现:2)控制信号:Q1Q2XQ1Q3XQ2Q1Q3Q1现态次态n+1转移条件Q3Q1Q2例:将四位二进制数X,Y分别存入寄存器A和B中,然后比较两数大小,使大数存入寄存器A,设计定序型控制器。S0C0S3S1S21LBLALBCAPS0C0S3S1S21LBLALBCAPS0C0S3S1S21LBLALBCAPS0C0S3S1S21LBLALBCAPS0C0S3S1S21LBLALBCAP

控制信号:S0C0S3S1S21LBLALBCAP

分配触发器:S0=Q0……,S3=Q3Q0Q1Q1Q2Q2Q3Q3Q1CQ2C

现态次态转移条件MDS表:S0C0S3S1S21LBLALBCAPQ0Q1Q1Q2Q2Q3Q3Q1CQ2C

现态次态转移条件

电路实现:

次态方程:0123Q0Q2Q1LALB3.多路选择器(MUX)型控制器数据选择器:输出F(n)

D触发器D(n);地址输入(共用)

D触发器(Q)确定:

数据端转移条件适应于状态数较多,N个触发器可构成2n

个控制状态。n个触发器需n个数据选择器给ASM图的状态框编码:由ASM图得控制信号表达式:控制器的状态转移表:数据选择器的数据端:给ASM图的状态框编码:2)由ASM图得控制信号表达式:3)控制器的状态真值表:4)数据选择器的数据端:Q1nQ0nQ1n+1Q0n+10010X11X100011000100转移条件2个四选一的选择器A1A0=Q1nQ0nMUX1--D1MUX0--D0MUX1(d0)=X+X=1;MUX1(d1)=0;MUX1(d2)=0;MUX1(d3)=0;Q1nQ0nQ1n+1Q0n+10010X11X010010001100转移条件MUX0(d0)=XMUX0(d1)=0MUX0(d2)=0MUX0(d3)=0MUX1(0)=1;MUX0(0)=XMUX1(1)=0;MUX0(1)=0MUX1(2)=0;NUX0(2)=0MUX1(3)=0;MUX0(3)=00011Q1Q0Q1Q0CPS0C0S3S1S21LBLALBCAP1)给ASM图的状态框编码(Q1Q0):2)由ASM图得控制信号表达式:3)控制器的状态真值表:Q1nQ0nQ1n+1Q0n+10001011010111101C10C转移条件000110114)数据选择器的数据端:2个四选一的选择器A1A0=Q1nQ0nMux1(d0)=0;Mux1(d1)=1;Mux1(d2)=1;Mux1(d3)=C;Q1nQ0nQ1n+1Q0n+10001011010111101C10C转移条件Mux0(d0)=1Mux0(d1)=0Mux0(d2)=1Mux0(d3)=C1)给ASM图的状态框编码(Q1Q0):2)由ASM图得控制信号表达式:Q1nQ0nQ1n+1Q0n+1000

101

X0111XYZ0101XY0101XYZ1010XW1000XW1011XW1100转移条件3)控制器的状态真值表:Q1nQ0nQ1n+1Q0n+1000

101

0

XW0111XYZ0101XY0101XYZ1010XW1000XW1011XW1100转移条件Q1nQ0nQ1n+1Q0n+1000

101

0

XW0110XW0101XY0101XYZ1010XW1000XW1011XW1100转移条件Q1nQ0nQ1n+1Q0n+1000

101

0

XW0110XW0111XY0101XYZ1010XW1000XW1011XW1100转移条件Q1nQ0nQ1n+1Q0n+1000

101

0

XW0110XW0111XY0110XY1010XW1000XW1011XW1100转移条件Q1nQ0nQ1n+1Q0n+1000

101

0

XW0110XW0111XY0110XY10001000XW1011XW1100转移条件Q1nQ0nQ1n+1Q0n+100

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论