专题四数字频率计设计_第1页
专题四数字频率计设计_第2页
专题四数字频率计设计_第3页
专题四数字频率计设计_第4页
专题四数字频率计设计_第5页
已阅读5页,还剩10页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、专题四数字频率计设计第1页,共15页,2022年,5月20日,1点52分,星期日设计要求:1、设计8位十进制数字频率计。2、测量频率范围为1Hz50MHz。3、测量被测信号的周期(单位:微秒),最大周期为1秒,最小周期为1微秒。专题四:数字频率计设计 第2页,共15页,2022年,5月20日,1点52分,星期日一、测频原理8位十进制计数器输入信号Fin闸门信号EN1秒1秒计数输出译码、显示锁存器锁存信号LOAD复位信号RST控制器电路ENRSTLOAD标准秒脉冲第3页,共15页,2022年,5月20日,1点52分,星期日二、控制器时序控制器时序图ENRST0.5秒利用对CLK的2分频产生对ts

2、ten求反利用CLK和tsten合成,clk0 and tsen0时,clr_cnt1第4页,共15页,2022年,5月20日,1点52分,星期日三、8位十进制计数器设计(一)用VHDL设计十进制计数器LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNT10 IS PORT (CLK,RST,EN : IN STD_LOGIC; CQ : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); COUT : OUT STD_LOGIC ); END CNT10;第5页,

3、共15页,2022年,5月20日,1点52分,星期日ARCHITECTURE behav OF CNT10 ISBEGIN PROCESS(CLK, RST, EN) VARIABLE CQI : STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN IF RST = 1 THEN CQI := (OTHERS =0) ; -计数器复位 ELSIF CLKEVENT AND CLK=1 THEN -检测时钟上升沿 IF EN = 1 THEN -检测是否允许计数 IF CQI = 1001 THEN CQI := “0000”; COUT = 1; ELSE CQI := C

4、QI+1; COUT = 0; END IF; END IF; END IF; CQ = CQI; END PROCESS;END behav;第6页,共15页,2022年,5月20日,1点52分,星期日(二)8位十进制频率计电路图第7页,共15页,2022年,5月20日,1点52分,星期日三、32位锁存器设计LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY reg32b IS PORT (load : IN STD_LOGIC; din: in STD_LOGIC_VECTOR(31

5、 DOWNTO 0); DOUT : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END reg32b;ARCHITECTURE behav OF reg32b ISBEGIN PROCESS(load,din) BEGIN IF loadEVENT AND load=1 THEN dout=din; END IF; END PROCESS;END behav;第8页,共15页,2022年,5月20日,1点52分,星期日四、控制器设计参考程序LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UN

6、SIGNED.ALL;ENTITY testctl IS PORT (clk : IN STD_LOGIC; tsten:out STD_LOGIC; clr_cnt: out STD_LOGIC; load:out STD_LOGIC ); END testctl;ARCHITECTURE behav OF testctl IS signal div2clk:std_logic;第9页,共15页,2022年,5月20日,1点52分,星期日BEGIN PROCESS(clk) BEGIN IF clkEVENT AND clk=1 THEN div2clk=not div2clk; END I

7、F; END PROCESS; load=not div2clk;tsten=div2clk; process(clk,div2clk) begin if clk=0 and div2clk=0 then clr_cnt=1; else clr_cnt=0; end if; end process;END behav;四、控制器设计参考程序(续)第10页,共15页,2022年,5月20日,1点52分,星期日五、频率计总电路图第11页,共15页,2022年,5月20日,1点52分,星期日六、实验仪实际接口电路图第12页,共15页,2022年,5月20日,1点52分,星期日七、周期测量8位十进制计数器输入信号Fin1MHz标准方波信号计数输出锁存器译码、显示锁存信号LOAD复位信号RST控制器电路ENRSTLOAD输入信号Fin第13页,共15页,2022年,5月20日,1点52分,星期日第14页,共15页,2022年,5月20日,1点52分,星期日作业画出测量某周期信号的周期的电路框图,并编写其V

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论