数字集成电路设计与分析_第1页
数字集成电路设计与分析_第2页
数字集成电路设计与分析_第3页
数字集成电路设计与分析_第4页
数字集成电路设计与分析_第5页
已阅读5页,还剩12页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、 问答:Point out design objects in the figure such as :design, cell, reference, port, pin, net, then write a command to set 5 to net ADesign: topReference: ADD DFFCell: U1 U2Port: A B clk sumPin: A B D QNet: A B SINSet_load 5 get_nets Awhy do we not choose to operate all our digital circuits at these l

2、ow supply voltages? 答:1)不加区分地降低电源电压虽然对减少能耗能正面影响,但它绝对会使门的延时加大 2)一旦电源电压和本征电压(阈值电压)变得可比拟,DC特性对器件参数(如晶体管阈值)的变化就变得越来越敏感3)降低电源电压意味着减少信号摆幅。虽然这通常可以帮助减少系统的内部噪声(如串扰引起的噪声),但它也使设计对并不减少的外部噪声源更加敏感)问道题:1. CMOS静态电路中,上拉网络为什么用PMOS,下拉网络为什么用NMOS管2. 什么是亚阈值电流,当减少VT时,VGS =0时的亚阈值电流是增加还是减少?3. 什么是速度饱和效应4. CMOS电压越低,功耗就越少?是不是数

3、字电路电源电压越低越好,为什么?5. 如何减少门的传输延迟? P2036. CMOS电路中有哪些类型的功耗?7. 什么是衬垫偏置效应。8. gate-to-channel capacitance CGC,包括哪些部分VirSim有哪几类窗口3-6. Given the data in Table 0.1 for a short channel NMOS transistor withVDSAT = 0.6 V and k=100 µA/V2, calculate VT0, , , 2|f|, and W / L:解答: 对于短沟道器件: 在选择公式的时候,首先要确定工作区域,表格中的

4、所有VDS均大于VDSAT,所以不可能工作在线性区域。如果工作在饱和区域则: VT 应该满足 : VGS-VT<VDSAT 2-VT<0.6 1.4<VT这是不可能的,所以可以假设所有的数据都是工作在速度饱和区域 所以: 由 1&2 () 所以 1,2,3是在速度饱和区由 2&3 由 2&4 1297/1146=(2-Vt0)x0.6-o.62/2/(2-Vt)x0.6-0.62/2Vt=0.587V由 2 &5 Vt=0.691V这两个值都满足 Vt<1.4, 所以表中的数据都是工作的速度饱和状态由4 &5 和 可以计算出 和

5、得到 W/L=1.53-7 Given Table 0.2 ,the goal is to derive the important device parameters fromthese data points. As the measured transistor is processed in a deep-submciron technology, theunified model holds. From the material constants, we also could determine that the saturation voltage VDSAT equals -1

6、V. You may also assume that -2F = -0.6V.NOTE: The parameter values on Table 3.3 do NOT hold for this problem.a. Is the measured transistor a PMOS or an NMOS device? Explain your answer.b. Determine the value of VT0.c. Determine .d. Determine .e. Given the obtained answers, determine for each of the

7、measurements the operation region of the transistor (choose from cutoff, resistive, saturated, and velocity saturated). Annotateyour finding in the right-most column of the above.解答:a) 这是 PMOS 器件b) 比较各表中 的值知道1,4为工作在速度饱和状态由 1&4 Vt0=0.5Vc) 由 1&5和上面求出的Vt0的值: 1,5工作在速度饱和区域 则:(-84.375)/(-72.0)=(-2

8、.5-Vt0)*(-1)-12/2/(-2.5-Vt)*(-1)-12/2求出Vt,代入下面公式:求出:=0.538V1/2d)由 1&6,因为1,6均工作在速度饱和区域: =0.05V-1e)1-vel. Sat, 2-cutoff, 3-saturation , 4-5-6 vel. Sat, 7-linear3-8 An NMOS device is plugged into the test configuration shown below in Figure0.4. The input Vin =2V. The current source draws a constant

9、 current of 50 µA. R is a variableresistor that can assume values between 10k and 30 k. Transistor M1 experiencesshort channel effects and has following transistor parameters: k = 110*10-6 V/A2, VT = 0.4 ,and VDSAT = 0.6V. The transistor has a W/L = 2.5µ/0.25µ. For simplicity body eff

10、ect andchannel length modulation can be neglected. i.e =0, =0. .a. When R =10k find the operation region, VD and VS.b. When R= 30k again determine the operation region VD, VSc. For the case of R = 10k, would VS increase or decrease if 0. Explain qualitatively解答:1)当 R=10k, VD=VDD-IR VD=2.5-50x10-6x10

11、4=2.5-0.5=2V 假设器件工作在饱和区 ( 需要以后验证)则:=0.3V 所以 VGS=0.3+0.4=0.7V VS=2-0.7=1.3VVmin=min(VGS-Vt, VDSAT, VDS)=min(0.3,0.6,0.7)=VGS-Vt 所以是饱和区VD=2VVS=1.3V saturation operationb) VD=2.5-30x103x50x10-6=2.5-1.5=1Vassume linear op:Min(VGS-VT,VDS,VDSAT)=min(1-0.93-0.4).0.07,0)=VDS SO linearc) increase , R = 10k R

12、变化,则VD必须变化以保持电流稳定, 试图增加电流,而为了恒定电流值,VGS必须减小,即VS必须增加 1、(10)P137Assume an inverter in the generic 0.25 mm CMOS technology designed with a PMOS/NMOS ratio of 3.4 and with the NMOS transistor minimum size (W = 0.375 mm, L = 0.25 mm, W/L =1.5). VM = 1.25 V, please compute VIL, VIH, NML, NMH . the process

13、parameters is presented in table1 由此可以得到 VIL, VIH, NML, NMH:因为VIH=VM-VM/g , VIL=VM+(VDD-VM)/g NMH=VDD-VIH , NML=VILVIL=1.2V, VIH=1.3V, NML=NMH=1.25.3、For the inverter of Figure 1 and an output load of 3 pF,at Vout=2.5V, IDVsat=0.439mA, at Vout=1.25V, IDvsat=0.41mAfig 1a. Calculate tplh, tphl, and tp

14、.b. Are the rising and falling delays equal? Why or why not?解答:tpLH =0.69RLCL= 155 nsec.对于 tpHL:首先计算 Ron for Vout at 2.5V and 1.25V. 因为 Vout=2.5V, IDVsat=0.439mA 所以 Ron= 5695W 当 Vout=1.25V, IDvsat=0.41m 所以Ron= 3049W.这样, Vout=2.5Vand Vout=1.25V 之间的平均电阻 Raverage=4.372kW.tpLH=0.69RaverageCL=9.05nsec.tp

15、=avtpLH, tpHL=82.0nsecb. Are the rising and falling delays equal? Why or why not?SolutiontpLH >> tpHL 因为 RL=75kW 远大于有效线性电阻 effective linearized on-resistance of M1.5-5 The next figure shows two implementations of MOS inverters. The first inverter uses onlyNMOS transistors. Calculate VOH, VOL,

16、VM for each case. 有的参数参考表1解答:电路 A.VOH: 当 M1关掉, M2 的阈值是:当下面条件满足的时候,M2将关闭: 所以 VOUT=VOH=1.765VVOL: 假设VIN=VDD=2.5V.我们期望 VOUT 为低, 因此我们可以假设M2工作在速度饱和区,而M1工作在线性区域.因为 ID1= ID2 , 所以 VOUT=VOL=0.263V, 假设成立VM: 当VM=VIN=VOUT.假设两晶体管均工作在速度饱和区域, 我们得到下面两个方程: 设 ID1=ID2, 得到 VM=1.269V电路 B.当 VIN=0V, NMOS 关掉,PMOS 打开,并把VOUT

17、拉到VDD, so VOH=2.5. 同样, 当 VIN=2.5V, the PMOS关掉,NMOS 把 VOUT拉到地, 所以VOL=0V.为了计算 VM : VM=VIN=VOUT.假设两晶体管均工作在速度饱和区域,可以得到下面两组方程.设 ID3+ ID2 =0 ,可以得到r VM = 1.095V.所以假设两晶体管均工作在速度饱和区域是正确的.5-7 Consider the circuit in Figure 5.5. Device M1 is a standard NMOS device. Device M2 has allthe same properties as M1, ex

18、cept that its device threshold voltage is negative and has a valueof -0.4V. Assume that all the current equations and inequality equations (to determine themode of operation) for the depletion device M2 are the same as a regular NMOS. Assume thatthe input IN has a 0V to 2.5V swing. ( VDSAT=0.63v)a.

19、Device M2 has its gate terminal connected to its source terminal. If VIN = 0V, what is theoutput voltage? In steady state, what is the mode of operation of device M2 for this input?b. Compute the output voltage for VIN = 2.5V. You may assume that VOUT is small to simplifyyour calculation. In steady

20、state, what is the mode of operation of device M2 for thisinput?解答 a当 VIN = 0V , M1则关掉. M2开, 因为 VGS=0 > VTn2.所以没有电流通过 M2, M2的源漏电压等于0,故M2工作在线性区域,所以VOUT=2.5V.Solution b假设 M1工作在线性区域, M2工作在速度饱和区域,这就意味:因为Vout很小,所以可以忽略V2out/2,所以可以得到因此我们的假设是合理的。5-15 Sizing a chain of inverters.a. In order to drive a lar

21、ge capacitance (CL = 20 pF) from a minimum size gate (with inputcapacitance Ci = 10fF), you decide to introduce a two-staged buffer as shown in Figure, Assume that the propagation delay of a minimum size inverter is 70 ps. Also assumethat the input capacitance of a gate is proportional to its size.

22、Determine the sizing of thetwo additional buffer stages that will minimize the propagation delay.b. If you could add any number of stages to achieve the minimum delay, how many stageswould you insert?What is the propagation delay in this case? 解答a : 当每个buffer的延迟相等的时候,可以得到最小延迟时间.此时每个buffer的尺寸系数分别为 f,

23、 f2 解答 b: 最小延迟时间发生在 f = e的时候,因此 6-1 Implement the equation using complementary CMOS. Size the devices so that the output resistance is the same as that of an inverter with an NMOS W/L = 2 and PMOS W/L = 6. Which input pattern(s) would give the worst and best equivalent pull-up or pull-down resistanc

24、e?解答:因为最坏的上拉电阻发生在,只有一个通路存在output node to Vdd.如: ABCDEFG=1111100 and 0101110.最好的上拉电阻发生在: ABCDEFG=0000000.最坏的下拉电阻发生在,只有一个通路存在output node to GND.如: ABCDEFG=0000001 and 0011110.最好的下拉电阻发生在: ABCDEFG=1111111.5章Assume an inverter in the generic 0.25 m CMOS technology designed with a PMOS/NMOS ratio of 3.4 a

25、nd with the NMOS transistor minimum size (W = 0.375 mm, L = 0.25 mm, W/L =1.5). Please compute VIL, VIH, NML, NMH the process parameters is presented in table1解:我们首先计算在VM (= 1.25 V)的增益 所以: VIL=1.2V, VIH=1.3V, NML= NMH=1.21.How to deduce that the propagation delay of a gate ? p203o Keep capacitances(

26、CL) smallo Increase transistor sizes(W/L)o Increase VDD (see figure 5.22)减小CL: 增加晶体管的W/L,提高VDD2.Determine the sizes of the inverters in the circuit of Figure 5.22, such that the delay between nodes Out and In is minimized. You may assume that CL = 64 Cg,1 P210Figure 5.22,3. For the circuit of Figure

27、 4.11, assume that a driver with a source resistance of is used to drive a 10 cm long, 1 mm wide Al1 wire. And assume that the total lumped capacitance for this wire equals 11 pF. When applying a step input(with Vin going from 0 to v), please compute the propagation delay of the circuit. P151 Figure

28、 4.11 解答:4 please analyze intrinsic capacitances of MOSFET transistor ,write out three sources of it, and draw out MOSFET transistor capacitance model. P112答:基本的MOS结构,沟道电荷以及漏和源反向偏置pn结的耗尽区。电容器件模型如下:5 .please write out the expression of equivalent resistance Req of the circuit in Figure 1 when (dis)ch

29、arging a capacitor. Assuming that the supply voltage VDD is substantially greater than the velocity-saturation voltage VDSAT of the transistor. the channel-length modulation factor ()cannot be ignored in this analysis, are known parameters . P105解答:Program1. please write out verilog code and test be

30、nch for a 4 bit up-counter Module counter (clk, reset, enable,count);Input clk, reset, enable;Output3:0 count;Reg3:0 count;Always (posedge clk)If (reset=1b1) Count <=0; Else if (enable=1b1) Count <=count +1;EndmoduleModule counter_tb; Reg clk, reset, enable; Wire3:0 count; Counter U0(clk, rese

31、t, enable, count); Initial BeginClk=0;Reset=0;Enable=0; End Always#5 clk=!clk;initial begin $monitor($time, , , “clk=%d reset=%d enable=%d count=%d”, clk,reset,enable,count); #100 $finish end endmodule 2. please write out verilog code and test bench for a bit full adderModule addbit (a, b, ci ,sum,

32、co );Input a,b,ci;Output sum.co;Wire a,b,ci,sum,co;Assign co,sum=a+b+ci Endmodulemodule test_for_addbit;reg a, b, ci ;addbit u1(a, b, ci ,sum, co);initialbegin a = 0; b = 0; ci=0;#10a = 0; b = 0; ci=1;#10a = 0; b = 1; ci=0;#10a = 0; b = 1; ci=1;#10a = 1; b = 0; ci=0;#10a = 1; b = 0; ci=1; #10 a

33、 = 1; b = 1; ci=0; #10 a = 1; b = 1; ci=1;#10$finish;endinitial$monitor( $time, “ a=%b b=%b ci=%b sum=%b co=%b”, a,b,ci,sum, co );endmodule3.please write out verilog code and test bench for 4-1 MUXmodule mux (a,b,c,d,sel,y);input a,b,c,d input1 :0sel output y;reg y;always (a or b or c or d or sel)case

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论