基于FPGA的直流电机控制系统的设计_第1页
基于FPGA的直流电机控制系统的设计_第2页
基于FPGA的直流电机控制系统的设计_第3页
基于FPGA的直流电机控制系统的设计_第4页
基于FPGA的直流电机控制系统的设计_第5页
已阅读5页,还剩61页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、基于FPGA的直流电机控制系统的设计硬件设计院 系: 专 业 班: 姓 名: 学 号: 指导教师: 2021年 6月 基于FPGA的直流电机控制系统的设计硬件设计 The Design of DC Motor ControlSystem Based on FPGA Hardware Design摘 要本设计介绍了直流电机的类型、结构、工作原理、PWM调速原理以及FPGA集成芯片,和基于FPGA用PWM实现直流电机调整的根本方法,直流电机调速的相关知识,及PWM调整的根本原理和实现方法。本文对直流电机PWM调速系统方案的组成、硬件电路设计、程序设计及系统仿真分别进行了详细的表达。FPGA和VHD

2、L与EDA工具构成的数字系统现场集成技术,是本系统设计的核心局部,该门技术具有操作灵活、利用广泛以及价廉等特点。课题研究对象是直流电机,直流电机具有良好的启动和调速性能,被广泛地应用于对启动和调速有较高要求的拖动系统。本次设计采用EPM570为核心设计的直流电机的控制系统,实现了系统中PWM信号的生成和电机速度控制与速度显示。系统针对每个子模块都通过了仿真测试。系统采用了模块化的设计思路,为系统的设计和维护提供了方便,同时也提高了系统性能的可扩展性。系统采用一种软件硬化的设计思路,应用了VHDL硬件语言,该语言较容易理解。关键词:直流电机 FPGA 脉宽调制 Abstract This des

3、ign introduces clearly the construction and the principle of DC Motor and the principle of the speed control based on PWM and FPGA integrated chip. And showing some relative knowledge upon the DCmotor timing,the basic theory and the way to implement The article show some relative knowledge upon the

4、DCmotor timing,the basic theory and the way to implement. And the paper describes detailedly and operationally the composition program of DC motor speed control based on PWM and the design of the hardware circuit, the program design and the system simulation .FPGA and VHDL and EDA tools constitute t

5、he field of digital system integration technology, as a core part of the system design, the skill with operational flexibility ,cheap and widely use. This design adopts EPM570 control system for DC motor core design, implementation of the PWM signal system in the generation and motor speed control a

6、nd speed display. Each sub-module has pasted the simulation tests. The system uses a modular design concept. It's not only convenient for the system design and maintenance, but also improve the performance of the system scalability. The system adopts software hardening ideas, applies the VHDL ha

7、rdware language which is easy to be understood.Key Words: DC motor FPGA PWM 目 录摘要I AbstractII 绪论11 设计方案及系统分析2 系统的实现及参数的要求2 直流电机调速原理2 PWM根本原理设计方案3 PWM根本原理3 PWM波形的设计方案51.4 系统的外围硬件51.5 系统的工作流程62 硬件设计92.1 电源模块9 电机驱动模块9 电机转速测量模块11 按键输入模块13 显示电路模块15 光电编码器172.6.1 光电编码器的工作模型172.6.2 光电编码器的分类17 光电编码器的工作原理19 光

8、电耦合器19 光电耦合器的工作原理19 光电耦合器的优点20 FPGA核心板的介绍21 开发环境 Quartus II 7.0 介绍223.3 VHDL开发语言233.4 电机转速的测量程序设计25 数据显示的程序设计263.6 PWM波形发生器的程序设计28 键盘输入模块程序设计294 系统调试与改进31 硬件电路的调试31 电源电路的调试31 显示电路的调试32 系统软件调试324.2.1 PWM波形参数的调整324.2.2 系统的最终实现34 系统的改进34结论35致谢36参考文献37附录一 硬件电路图38附录二 程序设计39绪 论直流电动机是一种能量转换的装置,具有良好的启动性能和宽广

9、平滑的调速特性,在国民经济中起着重要作用,无论是在工农生产、交通运输、国防宇航、医疗卫生、商务与办公设备,还是日常生活中的家用电器,都大量的使用着各种各样的电机,如汽车、电视机、电风扇、空调等等也离不开电机。电机是电动机和发电机的统称,是一实现机电能转换的电磁装置。拖动生产机械,将电能转换为机械能的电机称为电动机;作为电源,将机械能转换为电能的电机称为发电机。由于电流有交流、直流之分,所以电机也就分为交流电机和直流电机两大类。在各类机电系统中,由于直流电机具有良好的起动、制动和调速性能,直流调速技术已广泛运用于工业、航天领域的各个方面。直流电机具有良好的启动和调速性能,被广泛地应用于对启动和调

10、速有较高要求的拖动系统,例如电力牵引、轧钢机、起重设备等。小容量的直流电动机在制动控制系统中的应用也很广泛。本设计的课题为基于FPGA的直流电机调速系统。最常用的直流调速技术是脉宽调制(PWM) 直流调速技术,它具有调速精度高、响应速度快、调速范围宽和耗损低等特点。系统采用PWM调节实现对电机的调速,采用红外发射接收对管采集电机转速。FPGA系统内部进程工作在并行的状态下,各子进程相互独立,输出的PWM波形具有频率高,占空比调节步精密的特点。高频率的PWM波形对直流电机工作的稳定起着极其重要的作用,尤其在高负载的调速系统中表达的优越性极其明显,频率越高电机运行越平稳。另外,对PWM 波形输出占

11、空比的调节步进细分的特点大大的扩大了调速系统的调速范围。本设计中主要研究FPGA产生PWM波形的优越性并对其进行验证,并且针对FPGA系统进行等精度频率测量的高精度性进行验证。 1 设计方案及系统分析1.1 系统的实现及参数的要求本系统是基于FPGA的直流电机闭环控制系统。要求系统能用按键设定电机的工作转速,并在系统工作时可以通过外部设定改变电机的转速。当电机的负载变化时,系统通过检测电机转速的变化对电机的转速进行PID调节,迅速调整电机的转速稳定工作在设定的工作频率。要求系统工作稳定在设定值偏差1HZ范围内。1.2 直流电机调速原理 根据励磁方式不同,直流电机分为自励和他励两种类型。不同励磁

12、方式的直流电机机械特性曲线有所不同。对于直流电机来说,人为机械特性方程式见式1-1 : 1-1 式中:、额定电驱电压、额定磁通量、与电机有关的常数、电机外加电阻、电机内阻、 理想空载转速、转速降分析式(1-1)可得当分别改变 、和时,可以得到不同的转速n,从而实现对速度的调节。由于当改变励磁电流时,可以改变磁通量的大小,从而到达变磁通调速的目的。但由于励磁线圈发热和电动机磁饱和的限制,电动机的励磁电流和磁通量 只能在低于其额定值的范围内调节,故只能弱磁调速。而对于调节电枢外加电阻R时,会使机械特性变软,导致电机带负载能力减弱。对于他励直流电机来说,当改变电枢电压Un时,分析人为机械特性方程式,

13、得到人为特性曲线如图1-1所示。理想空载转速随电枢电压升降而发生相应的升降变化。不同电枢电压的机械特性曲线相互平行,说明硬度不随电枢电压的变化而改变,电机带负载能力恒定。当我们平滑调节他励直流电机电枢两端电压时,可实现电机的无级调速。基于以上特性,改变电枢电压,实现对直流电机速度调节的方法被广泛采用。改变电枢电压可通过多种途径实现,如晶闸管供电速度控制系统、大功率晶体管速度控制系统、直流发电机供电速度控制系统及晶体管直流脉宽调速系统等。图1-1 直流电机机械特性曲线1.3 PWM根本原理设计方案1.3.1 PWM根本原理 脉冲宽度调制(Pulse Width ModulationPWM)是指将

14、输出信号的根本周期固定,通过调整根本周期内工作周期的大小来控制输出功率。对于一个定时器来说,其时钟源输入频率一般不变,即TCFG0定时器预分频值和TCFG1定时器分割值的值设定后就不需改变。这样对于PWM提供了一个稳定的时钟源。电机的转速与电机两端的电压成比例,而电机两端的电压与控制波形的占空比成正比,因此电机的速度与占空比成比例,占空比越大,电机转得越快。系统中PWM脉冲频率就由TCNTBn决定,PWM脉冲宽度值那么由TCMPBn的值来决定,而占空比即为TCMPn/TCNTn。如果要使电机转速下降,即得到一个比拟低的PWM脉宽输出值,可以减少TCMPBn的值;要使电机转速增加,即得到一个更高

15、的PWM的输出值,可以增加TCMPBn的值。由于双缓冲器的特性,下一个PWM周期的TCMPBn值可以通过ISR中断效劳程序或其他手段在当前PWM周期中低电平时的任何一点写入,即在程序中可以通过中断重新设定TCMPBn的值来改变电机的转速。缓冲区TCMPBn,TCNTBn的值不一定等于这个周期的TCMPn,TCNTn的值,但一定是TCMPn,TCNTn的下一个周期的值。 PWM可以应用在许多方面,如电机调速、温度控制、压力控制等。在PWM驱动控制的调整系统中,按一个固定的频率来接通和断开电源,并根据需要改变一个周期内“接通和“断开时间的长短。通过改变直流电机电枢上电压的“占空比来改变平均电压的大

16、小,从而控制电动机的转速。因此,PWM又被称为“开关驱动装置。如图12所示,在脉冲作用下,当电机通电时,速度增加;电机断电时,速度逐渐减少。只要按一定规律,改变通、断电的时间,即可让电机转速得到控制。设电机始终接通电源时,电机转速最大为,设占空比为,那么电机的平均速度为 式中, 电机的平均速度;电机全通电时的最大速度;占空比。图1-2 电驱电压“占空比与平均电压关系图 由图1-3所示可以看出,与占空比并不是完全线性关系图中实线,当系统允许时,可以将其近似的看成线性关系途中虚线。因此也就可以看成电机电枢电压与占空比成正比,改变占空比的大小即可控制电机的速度。 由以上表达可知:电机的转速电枢电压成

17、比例,而电机电枢电压与控制波形的占空比成正比,因此电机的速度与占空比成比例,占空比越大,电机转得越快,当占空比时,电机转速最大如图1-3所示。 图1-3 平均速度与占空比的关系1.3.2 PWM波形的设计方案如果采用CPU控制产生PWM信号,一般的PWM信号是通过模拟比拟器产生的,比拟器的一端接给定的参考电压,另一端接周期线形增加的锯齿波电压。当锯齿波电压小于参考电压时输出低电平,当锯齿波电压输出电压大于参考电压时输出高电平。改变参考电压就可以改变PWM波形中高电平的宽度。假设用单片机产生PWM波形信号,需要通过D/A转换器产生锯齿波电压和设置参考电压,通过外接模拟器输出PWM波形,因此外围电

18、路比拟复杂。 FPGA中的数字PWM控制与一般的模拟PWM控制不同。用FPGA产生PWM波形,只需要FPGA内部资源就可以实现。用数字比拟器代替模拟比拟器,数字比拟器的一端接设计值计数器输出,另一端接线形递增计数器输出。当线形计数器的计数值小于设定值时输出低电平,当计数值大于设定值时输出高电平。与模拟控制相比,省去了外接D/A转换器和模拟比拟器的,FPGA内部连线很少、电路更加简单、便于控制。脉宽调制细分驱动电路的关键是脉宽调制,转速的波动随着PWM脉宽细分数的增大而减小。 针对FPGA的优越性,本设计采用了Altera 公司的EPM570 FPGA芯片作为系统的核心控制器件,设计基于FPGA

19、的直流电机闭环控制系统。1.4 系统的外围硬件及其与FPGA的接口电路硬件系统由FPGA核心学习板模块、显示模块、按键输入模块、电机测速模块、直流电机驱动模块组成,如图1-4所示。 图1-4 系统硬件框架图 FPGA的直流电机调速方案是采用FPGA中的数字控制技术来产生PWM波形,只需要FPGA内部资源就可以实现,如数字比拟器、锯齿波发生器等均为FPGA内部资源,直接调用就可以。外部端口U_D、EN1、Z/F、START接在键盘电路上,CLK2和CLK0接在外部时钟电路上。1.5 系统的工作流程基于FPGA的直流电机闭环控制系统的系统工作流程框图如图 1-5所示。设定频率值显示模块按键1偏差值

20、比拟值B产生器PWM周期调节器H型直流电机驱动消抖电路开关控制器直流电机频率计转换为转速值实际转速显示模块比拟器1设定电机转速按键2比拟值APID控制按键3按键4比拟器2PWM发生器按键5图1-5 系统工作流程框图系统通过FPGA内部产生PWM波形输出到H型驱动电路控制电机的转动,采集电路反响电机转动的波形到FPGA进行系统分析,形成闭还控制。显示模块和按键输入独立接到FPGAD的I/O口,显示模块负责显示设定转速和实际测量到的转速。按键输入模块对系统内部信号进行设置。工作流程为:检测到电机工作脉冲,将其转换为实际转速M,实际转速M与通过键盘设定好的设定转速N比拟并且分析,得出偏差值Q,内部的

21、PID调节器对偏差Q和M,N进行分析,输出调节比拟器2PWM波形发生器的比拟值的信号。比拟器2输出的PWM波形接到电机开关控制器,电机控制器的输出由输入按键5控制。开关控制器开时输出PWM波形到H型驱动电路驱动电机工作。2 系统的硬件设计2.1 电源模块的设计 由于电机在正常工作时对电源的干扰很大,如果只用一组电源时会影响系统的正常工作,所以我们选用双电源供电。一组5V给控制电路供电,另外一组12V给电机供电。本系统的电源设计采用2个独立电源供电,核心控制局部采用5V,3.3V供电,先输出5V电压满足局部外围硬件工作电压,再将5V电压转为3.3V稳压输出满足FPGA系统工作电压。H型电机驱动电

22、路采用5V电压供电。电源原理图如图2-1所示,输入端输入+12V电压经过电压转换器降压后输出+5V的直流电压。瓷片电容的作用是滤除电源高频成分,滤除直流电源对电子系统的影响。 图2-1 电源模块电路图 2.2 电机驱动模块电机驱动采用H型乔氏驱动电路,该电路可通过PWM控制电机转速和控制电机方向。本次的驱动电路中H桥采用L298N芯片,采用L298N主要是电路稳定扩展空间大及使用方便。L298N是ST公司生产的一种高电压、大电流电机驱动芯片。该芯片采用15脚封装。主要特点是:工作电压高,最高工作电压可达46V;输出电流大,瞬间峰值电流可达3A,持续工作电流为2A;额定功率25W。内含两个H桥的

23、高电压大电流全桥式驱动器,可以用来驱动直流电动机和步进电动机、继电器线圈等感性负载;采用标准逻辑电平信号控制;具有两个使能控制端,在不受输入信号影响的情况下允许或禁止器件工作有一个逻辑电源输入端,使内部逻辑电路局部在低电压下工作;可以外接检测电阻,将变化量反响给控制电路。使用L298N芯片驱动电机,该芯片可以驱动一台两相步进电机或四相步进电机,也可以驱动两台直流电机,如图2-2。 图2-2 L298N外观控制步进电机的转向: 如果给定工作方式正序换相通电,步进电机正转,如果按反序通电换相,那么电机就反转。如:正转通电顺序是:A-B-AB 依次循环。那么反转的通电顺序是:B-A-BA依次循环。控

24、制步进电机的速度:如果给步进电机发一个控制脉冲,它就转一步,再发一个脉冲,它会再转一步。两个脉冲的间隔越短,步进电机就转得越快。 FPGA I/O接口局部采用光偶隔离,通过控制光电偶合器U1,U2的红外发光二级管的亮与灭来控制控制U1,U2的导通与截止。:当A,B的电平分别为1和0时,U1,Q1,Q3截止,U2,Q2,Q4导通,电流方向为:15VQ2 M1 M2 Q4 地,电机正转;假设A,B的输出电平分别为0和1时,U1,Q1,Q3导通,U2,Q2,Q4截止,电流方向为:15V Q1M2 M1 Q3 地,电机反转。根本原理作用如下 两相四拍工作模式时序:表2-1 两相四拍工作模式时序步进电机

25、信号输入第一步第二步第三步第四步返回第一步正转IN10111返回IN21011返回IN31101返回IN41110返回反转IN11110返回IN21101返回IN31011返回IN40111返回如图2-3所示H型桥的驱动电路。 图 2-3 H型直流电机驱动电路2.3 电机转速测量模块方案一:使用霍尔传感器件。霍尔传感器件是利用霍尔效应来完成磁电转换的传感器,它具有灵敏度高,线性度好,稳定性高,体积小以及耐高温的特点,在机电控制系统中占有非常重要的地位。对测速装置的要求是具有较强的分辨能力,较高的精度以及较短检测时间,但是他对硬件电路的要求也比拟高。方案二:使用光电码盘。光电码盘是由光学玻璃做成

26、,上面刻有很多同心码道,每个码道有按照一定的规律排列组成的透光和不透光局部。工作的时候,光投射在盘上,码盘会随着运动物体一起旋转,透过亮区的光线经过狭缝后被光敏器件接受,光敏器件的排列与码道两者一一对应,对于亮区以及暗区光敏器件的输出信号,分别为“1和“0,当码盘转动到了不同的位置时,光敏器件的输出信号组合反映出一定规律的数字量,代表了轴的角位移。但它使用比拟麻烦,准确度与反响速度都不高,对于软件方面要求也比拟高。方案三:使用光电开关ST101。光电开关或者称为光电传感器,是光电接近开关的简称,是利用被检测物体对于光线的遮挡或者反射,由同步回路选通电路,来检测物体的有无。如图2-4所示:ST1

27、01的标准接法,A端1K,C端10K。使用ST101电路简单,而且实用,反响速度快,准确度比拟高。 图2-4 ST101标准接法 鉴于方案三测速性能较好,价格比拟廉价,调速范围比拟广,使用比拟简单,因此本设计采用方案三。由光电脉冲发生器产生与被测转速成正比的脉冲,测速装置将输入脉冲转换为以数字形式表示的转速值,其结构电路图如图2-5。图2-5 测速模块电路图 测速方法是M法测速,其测量原理是在一定的时间Tc内测取光电脉冲发生器所产生的脉冲信号的个数,用以计算这段时间内的平均转速如公式2-1所示。把除以Tc就可得到脉冲发生器输出脉冲的频率 =/Tc ,所以又称频率法。 电动机每转一圈共产生Z个脉

28、冲Z 倍频系数光电脉冲发生器码盘孔数或光栅数,把 除以Z得到电动机的转速,习惯上,时间Tc以秒为单位,而转速是以每分钟的转数r/min 为单位,那么电动机的转速为如公式2-1所示: ( 2-1 ) 上式中,Z和Tc均为常数,因此转速n 正比于脉冲个数。转速较高时大,量化误差较小,随着转速的降低误差增大,转速过低时 将小于1,测速装置便不能正常工作。所以M法测速只适用于高速段。2.4 按键输入模块 设计键盘电路后,可以通过以按键的方式向FPGA控制系统表达人的命令来实现直流电机的正转、反转、停止和加减速,实现人机互换。 键盘电路有两种类型,其中一种是独立式键盘电路。独立式键盘电路结构简单、操作方

29、便,在目前这种结构的键盘应用还非常普遍。只是这种键盘电路的每个按键都要占用一根I/O口线,这样的话,随着按键的增加将使I/O口线缺乏。因此,这种键盘电路只有在按键比拟少的情况下比拟适用。另一种键盘电路是矩阵式键盘电路,这种键盘电路的按键设置在行线和列线的交叉点上,因此在有限的I/O口线上可以设置比拟多的按键。只是这种键盘电路结构、编程都比拟复杂。在键盘电路中往往可以与一个与非门电路构成带中断的键盘电路。这种键盘电路上的每个按键可以单独工作,而且响应时间快。这种带中断式的键盘电路现在应用已经相当的普遍。 如图2-6所示,所采用的键盘电路是独立式键盘电路。其4个开关键连线分别接在FPGA控制系统的

30、4个端口上,并分别往上各引一条接线串一个10K的上拉电阻接在+3.3V电源上。当4个键都没有被按下去时,对应的各条列线全部为高电平,在CMOS非门的作用下每个端口的电平为低电平。其中一个按钮按下去时,其对应的输出端口在非门的作用下由低电平变为高电平,从而启动相应的功能。 图2-6 键盘模块电路图在键盘电路设计中,解决按键抖动的问题。多数键盘的按键均采用机械弹性开关,一个电信号通过机械触点的断开、闭合过程,完成上下电平的切换。由于机械触点的弹性作用,一个按键开关在闭合和断开的瞬间必然伴随一连串的抖动。为了排除抖动的影响,可以在按键和输出端并上一个电阻、一个电容。如图2-7所示。 图2-7 防抖动

31、电路 由图2-7可知,当键SB1未按下时,电容C两端的电压均为1,非门输出为0。当键SB1按下时,由于C两端电压不可能产生突变。尽管接触过程中可能出现抖动,只要适当的选择R和C值,即可保证电容C两端的放电电压波动不会超过非门的开启电压TTL为0.8V,非门的输出将维持低电平。同理,当触点K断开时,由于电容C经过R2充电,C两端的充电电压波动不会超过非门的关闭电压,因此,非门的输出也不会改变,从而到达防抖动的效果9。 显示电路模块设计方案一:使用数码管。数码管按照段数分为七段显示数码管和八段显示数码管,八段显示数码管比七段显示数码管多一个发光二极管,其实就是一个小数点的显示;按能显示几个“8可分

32、为1为,2位,4位等;按照发光二极管的连接方式可以分为共阳极和共阴极数码管。方案二:使用液晶LCD1602.1602是可以显示2行,每行16个字符,字符包括英文字符和阿拉伯数字,但是不能显示汉字。方案三:使用液晶128564。带中文字库的128X64是一种有4为/8位并行,2线或者3线串行多种接口方式,内部含有国标一级,二级简体中文字库的点阵图形液晶显示模块;它显示的分辨率为128x62.,内置8192个16*16点汉字,一级128个16*8个ASCII字符集。利用该模块灵活的接口方式和简单,方便的操作指令,可以构成全中文人机图形界面。由于本电路只需显示点击的转速,所以采用方案一,使用LED1

33、602显示电机的转速。 LCD1602液晶也叫1602字符型液晶,它是一种专门用来显示字母、数字、符号等的点阵型液晶模块。它由假设干个5X7或者5X11等点阵字符位组成,每个点阵字符位都可以显示一个字符,每位之间有一个点距的间隔,每行之间也有间隔,起到了字符间距和行间距的作用,正因为如此所以它不能很好地显示图形。 LCD1602是指显示的内容为16X2,即可以显示两行,每行16个字符液晶模块显示字符和数字。市面上字符液晶大多数是基于HD44780液晶芯片的,控制原理是完全相同的,因此基于HD44780写的控制程序可以很方便地应用于市面上大局部的字符型液晶如图2-8所示。 图2-8 LCD160

34、2液晶显示器及引脚图1062采用标准的16脚接口,其中:第1脚:第1脚:VSS为电源地第2脚:VCC接5V电源正极第3脚:V0为液晶显示器比照度调整端,接正电源时比照度最弱,接地电源时比照度最高比照度过高时会 产生“鬼影,使用时可以通过一个10K的电位器调整比照度。第4脚:RS为存放器选择,高电平1时选择数据存放器、低电平0时选择指令存放器。第5脚:RW为读写信号线,高电平(1)时进行读操作,低电平(0)时进行写操作。第6脚:E(或EN)端为使能(enable)端,高电平1时读取信息,负跳变时执行指令。第714脚:D0D7为8位双向数据端。第1516脚:空脚或背灯电源。15脚背光正极,16脚背

35、光负极。 1602的工作电压为3.3V或5V,比照度可调,内含复位电路,能提供各种控制命令,如:清屏、字符闪烁、光标闪烁、显示移位等多种功能,它有80字节显示数据存储器DDRAM、内建有192个5X7点阵的字型的字符发生器CGROM、8个可由用户自定义的5X7的字符发生器CGRAM。1602的特点是微功耗、体积小、显示内容丰富、超薄轻巧,常用在袖珍式仪表和低功耗应用系统中。 显示模块采用一个Lcd1602液晶显示器来显示测的的电机转速,其显示原理及管脚对应功能如上所示,这里不在重复如图2-9所示。 图2-9 显示模块电路图2.6 光电编码器 光电编码器是一种通过光电转换将输出轴上的机械几何位移

36、量转换成脉冲或数字量的传感器。这是目前应用最多的传感器,光电编码器是由光栅盘和光电检测装置组成。光栅盘是 一定直径的圆板上等分地开通假设干个长方形孔。由于光电码盘与电动机同轴,电动机旋转时,光栅盘与电动机同速旋转,经发光二极管等电子元件组成的检测装置检测输出假设干脉冲信号,通过计算每秒光电编码器输出脉冲的个数 能反映当前电动机的转速。此外,为判断旋转方向,码盘还可提供相位相差90°的两路脉冲信号。增量式光电编码器是码盘随位置的变化输出一系列的脉冲信号,然后根据位置变化的方向用计数器对脉冲进行加或减计数,以此到达位置检测的目的。 光电编码器的工作模型 光电编码器的模型有四局部:图中1发

37、光二极管 ,2光电圆盘,3转盘缝隙,4 遮光板ABC光敏元件。其模型如图2-10所示。 图2-10 编码器的模型 光电编码器的分类 根据检测原理,编码器可分为光学式、磁式、感应式和电容式。根据其刻度方法及信号输出形式,可分为增量式、绝对式以及混合式三种。 1增量式编码器 增量式编码器是直接利用光电转换原理输出三组方波脉冲A、B和C相;A、B 两组脉冲相位差90°,从而可方便地判断出旋转方向,而C相为每转一个脉冲一圈,用于基准点定位。它的优点是原理构造简单,机械平均寿命可几万小时以上,抗干扰能力强,可靠性高,适合于长距离传输。其缺点是无法输出轴转动的绝对位置信息。 2绝对式编码器 绝对

38、编码器是直接输出数字量的传感器,它的圆形码盘上沿径向有假设干同心码道,每条道上由透光和不透光的扇形区相间组成,相邻码道的扇区数目是双倍关系,码盘上的码道数就是它的二进制数码的位数,码盘的一侧是光源,另一侧对应每一码道有一光敏元件;当码盘处于不同位置时,各光敏元件根据受光照与否转换出相应的电平信号,形成二进制数。它的特点是: 可以直接读出角度坐标的绝对值; 没有累积误差; 电源切除后位置信息不会丧失。分辨率是由二进制的位数来决定的。 3混合式绝对值编码器 混合式绝对值编码器,它输出两组信息:一组信息用于检测磁极位置,带有绝对信息功能;另一组那么完全用于增量式编码器的输出信息。 光电编码器的工作原

39、理 增量式光电编码器的工作原理是由旋转轴转动带动在径向有均匀窄缝的主光栅码盘旋转,主光栅码盘的上面有与其平行的鉴向盘,鉴向盘上有两条彼此错开90°相位的窄缝,并分别有光敏二极管接收主光栅码盘透过来的信号。工作时,鉴向盘不动,主光栅码盘随转子旋转,光源经透镜平行射向主光栅码盘,通过主光栅码盘和鉴向盘后由光敏二极管接收相位差 90°的近似正弦信号,再由逻辑电路形成转向信号和计数脉冲信号。为了获得绝对位置角,增量式光电编码器有零位脉冲,即主光栅每旋转一周,输出一个零位脉冲,使位置角清零。利用增量式光电编码器可以检测电机的位置和速度。其原理示意图如图2-5 所示。当码盘转动时,它的

40、输出信号是相位差为90°的A 相和B 相脉冲信号。从A ,B两个输出信号的相位关系超前或滞后可判断旋转的方向。当码盘正转时,A道脉冲波形比B道超前/2,而反转时,A道脉冲比B道滞后/2。 图2-11 编码器波形图 2.7 光电耦合器 光电耦合器亦称光电隔离器,简称光耦。它是以光为媒介来传输电信号的器件,通常把发光器发光二极管与受光器光敏半导体管封装在同一管壳内。当输入端加电信号时发光器发出光线,受光器接受光线之后会产生光电流,从输出端流出,从而实现了“电光电转换。以光为媒介把输入端信号耦合到输出端的光电耦合器,由于它具有体积小、寿命长、无触点,抗干扰能力强,输出和输入之间绝缘,单向传

41、输信号等优点,数字电路上获得广泛的应用。 光电耦合器的工作原理 耦合器以光为媒介传输电信号。它对输入、输出电信号有良好的隔离作用,所以,它在各种电路中得到广泛的应用。光耦合器一般由三局部组成:光的发射、光的接收及信号放大。输入的电信号驱动发光二极管,使之发出一定波长的光,被光探测器接收而产生光电流,再经过进一步放大后输出。这完成了电光电的转换,从而起到输入、输出、隔离的作用。由于光耦合器输入输出间互相隔离,电信号传输具有单向性等特点,因而具有良好的电绝缘能力和抗干扰能力。又由于光耦合器的输入端属于电流型工作的低阻元件,因而具有很强的共模抑制能力。所以,它长线传输信息中作为终端隔离元件可以大大提

42、高信噪比。 光电耦合器的优点 (1)输入和输出端之间绝缘,绝缘电阻可大于一万欧,耐压可超过一千伏。 (2)由于“光传输的单向性,所以信号从光源只单向传输到光接收器,其输出信号也不会影响输入端。 (3)光电耦合器件的共模抑制比很大,可以很好地抑制干扰并消除噪音。 (4)容易和逻辑电路配合。 (5)响应速度快。时间常数通常 微秒级。 3 系统的软件设计3.1 FPGA核心板的介绍本系统是基于Altera 公司的 Cyclone II 系列的 EPM570 FPGA芯片,该芯片内部有4608个逻辑单元,2个PLL,5个18比特乘18比特乘法器。核心板的外部输入的有源晶振为50MHZ。图4-1为EPM

43、570 FPGA芯片的资源结构图。Altera 在大获成功的第一代Cyclone 系列的根底上,开发了90nm低k绝缘工艺,1.2V SRAM工艺设计,在300mm 圆晶片上生产的Cylclone II FPGA。Cylclone II FPGA具有很高的性能和极低的功耗,而价格和ASIC相当,能够提供多种功能,为价格敏感的应用工大批量产品解决方案。Cylclone II 器件是汽车、通信、消费类、视频处理、测试和测量以及其他终端市场解决方案的理想选择。 用户可以单独使用Cylclone II FPGA 或者作为数字信号处理DSP协处理器使用,提高DSP应用的性价比。Cylclone II 期

44、间含有经过优化的多种DSP特性,由altera 全面的DSP流程提供支持。Cylclone II DSP 支持包括:18*18乘法器多达150个片内嵌入式存储器高达1.1MbitsDSP IP 核Math Work 的 Simulink和MATLAB软件DSP Builder 接口Cylclone II 版DSP开发、套件Cylclone II 器件提供了4608到68416个逻辑单元,并具有一整套最正确的功能,包括嵌入式18比特乘18比特乘法器、专用外部存储器接口电路、4Kbit嵌入式存储器块、锁相环PLL和高速差分I/O能力。 图 3-1 EPM570 的资源结构图3.2 开发环

45、境 Quartus II 7.0 介绍是Altera 提供的FPGA/CPLD 开发集成环境,Altera是世界上最大的可编程逻辑期间供给商之一。Quartus II在21世纪初推出,是Altera前一代FPGA/CPLD集成开发环境MAP+plus II 的更新换代产品,其界面友好,使用便捷。在Quartus II 上能完成整个基于VHDL等硬件描述语言的至顶向下的设计流程,它提供一种与结构武官的设计环境,使设计者能方便的进行输入、快速处理和器件编程。Altera 的Quartus II 提供完整的多平台设计环境,能满足各种特定设计的需要,也是单芯片可编程系统SOPC设计的综合性环境和SOP

46、C开发的根本设计工具,并为Altera DSP 开发包进行系统模型设计提供了集成综合环境。Quartus II设计工具完全支持VHDL,Verilog的设计流程,其内部嵌有VHDL,Verilog 逻辑综合器。Quartus II 也可以利用第三方的综合工具,如Leonardo Specturm 、Sysplify Pro 、FPGA Complier II,并能直接调用这些工具。同样,Quartus II 具备仿真功能,同时也支持第三方的仿真工具,如Modelsim。此外,Quartus II 与MATLAB 和DSP Builder 结合,可以进行基于FPGA的DSP 系统开发,是DSP硬

47、件系统实现的关键EDA工具。Quartus II包括模块化的编译器。编译器包括的功能模块有分析综合器Analysis&Systhesis、适配器Fitter、装配器Assembler、时序分析器(Timing Analyzer)、设计辅助模块(Design Assistant)、EDA网表文件生成器EDA Netlist Writer、编辑数据接口Complier Darabase Interface等。可以通过选择 Start Compliation 来运行所有的编译器模块,也可以通过Start单独运行各个模块。还可以通过选择Complier Tool(Tools菜单),在Compi

48、ler Tool窗口中运行该模块来启动编译器模块。在Compiler Tool 窗口中,可以翻开该模块的设置文件或报告文件,或翻开其他相关窗口。此外,Quartus II 还包括许多十分有用的LPMLibrary of Parameteriterized Modules模块,它们是复杂或高级系统构件的重要组成局部,也可在Quartus II中与普通设计文件一起使用,Altera提供的LPM函数均基于Altera 器件的结构做了优化设计。在许多实用情况中,必须使用宏功能模块才可以使用一些Altera 特定器件的硬件功能。例如各类片上存储器、DSP模块、LVDS驱动器、PLL以及SERDES和DD

49、IO电路模块等。3.3 VHDL开发语言 一段完整的 VHDL代码包含库声明Library、实体Entity、结构体Architecture、配置Configuration和包集合Package五局部。 库声明:库是经编译后的数据的集合,它存放包集合定义、实体定义、构造体定义和配置定义。库的功能类似于操作系统中的目录,库中存放设计的数据。VHDL语言中,库的说明总是放在设计单元的最前面。这样在设计单元内的语句就可以使用库中的数据。由此可见,库的好处在于使设计者可以共享已经编译过的设计结果。 VHDL 语言中可以存在多个不同的库,但是库和库之间是独立的。不能相互嵌套。当前VHDL语言中存在的库大

50、致可以分为两类,一类是用户自行生成的IP库,可以聚集自身设计需要所开发的共用包集合和实体等。使用时要首先说明库名。另一类是PLD,asic 芯片制造商提供的库。比方常用的74系列芯片、rom,rom 控制器、Counter计数器等标准模块。用户可以直接引用,而不必从头编写。这类库又可以分为4种:ieee库、std 库、asic矢量库和work库。ieee库中有一个“std_logic_ 1164.all 的包集合是ieee 正式认可的标准包集合。std 库是VHDL的标准库,库中存放有称为“standrad 的包集合,库中还包含有称作textio的包集合,使用时应先说明库和包集合名。asic库

51、中存放着与逻辑门一一对应的实体,使用时要对库进行必要的说明。work 库是现行作业库,设计者所描述的VHDL语句不需要任何说明,都将存放work库中,使用时无需进行任何说明。 实体:实体是VHDL 程序的根本单元。简单到可以是一个与门AND Gate,但复杂到可以是一个系统。但是,不管是简单的数字电路还是复杂的数字电路,其根本构成是一致的,都由实体说明和结构体两局部组成。层次化系统设计中,实体说明用于描述设计系统的外部接口信号,结构体用于描述系统的行为,系统数据的流程或者系统组织结构形式。 结构体:结构体是一个根本设计单元的实体,具体指明了该设计单元的行为,元件及内部的连接关系,它定义了设计单

52、元具体的功能,结构体对其根本设计单元的输入输出关系,可以用3 种方式描述,即行为描述、存放器传输描述和结构描述。不同的描述方式,其描述语句不同。而结构体的结构是完全一样的。一个电路系统的程序设计只有一个实体,可以有多个结构体。系统设计中的实体提供该设计系统的公共信息,结构体定义了各个模块内的操作特性。一个设计实体至少包含一个结构体或多个结构体,构成一个电子系统的设计模型。 配置:配置用于从库中选取所需单元来组成系统设计的不同规格的不同版本,使被设计系统的功能发生变化。配置语句描述层与层之间的连接关系以及实体与结构之间的连接关系,仿真每一个实体时,可以利用配置来选择不同的结构体,进行性能比照试验以得到性能最正确的结构体。 程序包:程序包单纯地用来罗列VHDL 语言中所要用到的信号定义、常数定义、数据类型、元件语句、 数定义和过程定义等。它是一个可编译的设计单元,程序包的主要任务是共享相同的单元,多数设计实

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论