数字时钟verilog_第1页
数字时钟verilog_第2页
数字时钟verilog_第3页
数字时钟verilog_第4页
数字时钟verilog_第5页
已阅读5页,还剩6页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、因为本人也是刚学习fpga的菜鸟,所以这个程序漏洞很多,仅供参考。/分频子模块module fenpin (clk,rst_n,en_1s,en_1ms); /产生1s,1ms的分频input clk;input rst_n;output en_1s;output en_1ms;reg31:0 jishu_1s;reg15:0 jishu_1ms;parameter cnt_1s =49999999; parameter cnt_1ms =49999; always(posedge clk or negedge rst_n) begin if(!rst_n) jishu_1s<=32&#

2、39;b0;else if(jishu_1s<cnt_1s) jishu_1s<=jishu_1s+1'b1;else jishu_1s<=32'b0; endalways(posedge clk or negedge rst_n) begin if(!rst_n) jishu_1ms<=16'b0;else if(jishu_1ms<cnt_1ms) jishu_1ms<=jishu_1ms+1'b1;else jishu_1ms<=16'b0; end assign en_1s=(jishu_1s=cnt_1

3、s)? 1'b1 : 1'b0; /1sassign en_1ms=(jishu_1ms=cnt_1ms)? 1'b1 : 1'b0; /1msendmodule/按键控制部分module anjian(clk,rst_n,key1,key2,key1_low,key2_low);input clk;input rst_n;input key1; / 分加input key2; / 分减output key1_low; /按键按下消抖后的标志位output key2_low;reg reg0_key; /key1消抖reg reg1_key;reg reg2_k

4、ey; /key2消抖reg reg3_key;always (posedge clk or negedge rst_n) begin if(!rst_n) begin reg0_key <= 1'b1; reg1_key <= 1'b1; end else begin reg0_key <= key1; reg1_key <= reg0_key; /根据非阻塞赋值的原理,reg1_key 存储的值是reg0_key 上一个时钟的值 end end /脉冲边沿检测法,当寄存器 key1 由 1 变为 0 时,key1_an 的值变为高,维持一个时钟周期

5、wire key1_an; assign key1_an = reg1_key & ( reg0_key); always (posedge clk or negedge rst_n) begin if(!rst_n) begin reg2_key <= 1'b1; reg3_key <= 1'b1; end else begin reg2_key <= key2; reg3_key <= reg2_key; end end/脉冲边沿检测法,当寄存器 key2 由 1 变为 0 时,key2_an 的值变为高,维持一个时钟周期 wire key2

6、_an; assign key2_an = reg3_key & ( reg2_key); reg19:0 cnt_key1; /计数寄存器 always (posedge clk or negedge rst_n) begin if (!rst_n) cnt_key1 <= 20'd0; /异步复位 else if(key1_an) cnt_key1 <=20'd0; /led1_an=1,按键确认按下,cnt_key1从0开始计数 else cnt_key1 <= cnt_key1 + 1'b1; end reg19:0 cnt_key2;

7、 /计数寄存器always (posedge clk or negedge rst_n) begin if (!rst_n) cnt_key2 <= 20'd0; else if(key2_an) cnt_key2 <=20'd0; else cnt_key2 <= cnt_key2 + 1'b1; end /以下为消抖程序 reg reg_low; reg reg1_low; always (posedge clk or negedge rst_n) begin if (!rst_n) begin reg_low <= 1'b1; en

8、d else if(cnt_key1 = 20'hfffff) /时钟50mhz的话大约计时是20ms begin reg_low <= key1; /led_an=1,按键确认按下,cnt_key从0开始计数,这时候还有消抖动,计数20ms后抖动滤除了此时再锁存一下key1的值 end /这时key1的值就稳定了 end always (posedge clk or negedge rst_n) begin if (!rst_n) reg1_low <= 1'b1; else reg1_low <= reg_low; end assign key1_low

9、= reg1_low & ( reg_low); /当寄存器 reg_low 由 1 变为 0 时,key_low 的值变为高,维持一个时钟周期 reg reg2_low; reg reg3_low; always (posedge clk or negedge rst_n) begin if (!rst_n) begin reg2_low <= 1'b1; end else if(cnt_key2 = 20'hfffff) begin reg2_low <= key2; end end always (posedge clk or negedge rst_

10、n) begin if (!rst_n) reg3_low <= 1'b1; else reg3_low <= reg2_low; end assign key2_low = reg3_low & ( reg2_low); endmodule/时、分、秒module shijian(clk,rst_n,en_1s,key1_low,key2_low,shi,fen,miao);input clk;input rst_n;input en_1s;input key1_low;input key2_low;output5:0 shi;output5:0 fen;outp

11、ut5:0 miao;reg 5:0 shi;reg 5:0 fen;reg 5:0 miao;always(posedge clk or negedge rst_n) begin if(!rst_n) begin shi<=6'b0; fen<=6'b0; miao<=6'b0; endelse if(en_1s) begin miao=miao+1'b1; if(miao=60) begin miao=0; fen=fen+1'b1; if(fen=60) begin fen=0; shi=shi+1'b1; if(shi=

12、24) shi=0; end end endelse if(key1_low) begin fen=fen+1'b1; if(fen=60) begin fen=0; shi=shi+1'b1; if(shi=24) shi=0; end endelse if(key2_low) begin fen=fen-1'b1; if(fen=0) begin shi=shi-1'b1; fen=59; end end else begin shi<=shi; fen<=fen; miao<=miao; end endendmodule/显示部分modu

13、le xianshi(clk,rst_n,en_1ms,shi,fen,miao,led_bit,dataout);input clk;input rst_n;input en_1ms;input5:0 shi;input5:0 fen;input5:0 miao;output7:0 led_bit; /位选output7:0 dataout; /段选/数码管显示 09 对应段选输出parameter num0 = 8'b11000000, num1 = 8'b11111001, num2 = 8'b10100100, num3 = 8'b10110000, n

14、um4 = 8'b10011001, num5 = 8'b10010010, num6 = 8'b10000010, num7 = 8'b11111000, num8 = 8'b10000000, num9 = 8'b10010000;reg3:0 shi1,shi2,fen1,fen2,miao1,miao2; reg7:0 led_bit; /位选reg7:0 dataout; /段选reg2:0 state; /状态寄存器always(posedge clk or negedge rst_n) if(!rst_n) begin led_bi

15、t<=8'b1; state<=3'b0; end else if(en_1ms) begin state<=state+1'b1; shi1=shi/10; shi2=shi%10; fen1=fen/10; fen2=fen%10; miao1=miao/10; miao2=miao%10; if(state=3'b000) beginled_bit=8'b11111110;case(miao2) 0: dataout<=num0; 1: dataout<=num1; 2: dataout<=num2; 3: da

16、taout<=num3; 4: dataout<=num4; 5: dataout<=num5; 6: dataout<=num6; 7: dataout<=num7; 8: dataout<=num8; 9: dataout<=num9; default :dataout<=num0; endcase end else if(state=3'b001) beginled_bit=8'b11111101;case(miao1) 0: dataout<=num0; 1: dataout<=num1; 2: dataout

17、<=num2; 3: dataout<=num3; 4: dataout<=num4; 5: dataout<=num5; default :dataout<=num0; endcase end else if(state=3'b010) beginled_bit=8'b11110111;case(fen2) 0: dataout<=num0; 1: dataout<=num1; 2: dataout<=num2; 3: dataout<=num3; 4: dataout<=num4; 5: dataout<=n

18、um5; 6: dataout<=num6; 7: dataout<=num7; 8: dataout<=num8; 9: dataout<=num9; default :dataout<=num0; endcase end else if(state=3'b011) beginled_bit=8'b11101111;case(fen1) 0: dataout<=num0; 1: dataout<=num1; 2: dataout<=num2; 3: dataout<=num3; 4: dataout<=num4; 5

19、: dataout<=num5; endcase end else if(state=3'b100) begin led_bit=8'b10111111;case(shi2) 0: dataout<=num0; 1: dataout<=num1; 2: dataout<=num2; 3: dataout<=num3; 4: dataout<=num4; default :dataout<=num0; endcase end else if(state=3'b101) begin led_bit=8'b01111111;case(shi1) 0: dataout<=num0; 1: dataout<=num1; 2: dataout<=num2; endcase end else if(state=3'b110) beginled_bit=8'b11011011;dataout<=8'b10111111; end end else begin dataout<=dataout; led_bit<=led_bit; endendmodule/顶层模块module Shizhong(clk,rst_n

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论