位二进制加减法计数器_第1页
位二进制加减法计数器_第2页
位二进制加减法计数器_第3页
位二进制加减法计数器_第4页
全文预览已结束

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、贵州大学实验报告学院: 专业: 班级 姓名学号实验组实验时间指导教师成绩实验项目名称4位二进制加减法计数器实验目的1. 了解二进制加减法计数器的设计,进一步了解,熟悉和掌握quartusII的使用方法2. 学习verilog HDL的编程方法3. 学会使用vector wave功能仿真实验仪器软件:Altera QuartusII9.0集成开发环境实验原理计数器是数字系统中用得较多的基本逻辑器件,它不仅能记录输入时钟脉冲的个数,还可以实现分频、定时等功能。计数器的种类很多,按脉冲方式可以分为同步计数器和异步计数器,按进制可以分为二进制计数器和非二进制计数器;按计数过程数字的增减,可分为加计数器

2、减计数器和可逆计数器。实验设计的是一个4位二进制加减法计数器,该计数器可以通过一个控制信号决定计数器时加计数还是减计数,另外,该寄存器还有一个清零输入,低电平有效。还有一个load装载数据的信号输入,用于预置数据,还有一个C的输出,用于计数器的级联。4位二进制加减法计数器功能表R CLK LoadUp down状态L x xH x LH HH HXX01置零置数减法加法设计原理框图 从原理图中可见,需要有1bit装载位(load)、1bit清零位(clr)、方向控制位up_down和4bit数据选择位DIN3.0。装载位我们采用SW0,清零位采用SW1,方向控制位为SW2。 SW3-SW6作为

3、数据输入端,LED1-LED4显示数据的输出,LED5为溢出标志位。实验内容编写一个带预置输入,清零输入,可加可减计数器的verilog代码或VHDL代码并仿真,编译下载验证module counter4(load,clr,c,DOUT,clk,up_down,DIN);/定义模块input load;/定义输入信号input clk;/wire load;/定义线网型input clr;/wire clr;/input up_down;/wire up_down;/input 3:0DIN;/定义4位二进制输入信号wire 3:0DIN;/ 定义4位二进制线网型信号output c;/定义输

4、出信号reg c;/定义寄存器类型信号output 3:0DOUT;/wire 3:0DOUT;reg 3:0data_r;assign DOUT=data_r;always(posedge clk or posedge clr or posedge load)/检测clk,clr,load的上升沿beginif(clr)/当clr=1的时候进行下面的运行程序data_r<=0;/将data_r置零else if(load) /当load=1的时候进行下面的运行程序data_r<=DIN;/将DIN的值赋给data_relse begin if(up_down)/load=0的时候

5、进行下面的操作beginif(data_r=4'b1111)begin/当data_r=4'b1111的时候进行下面的运行程序data_r<=4'b0000;c=1;endelse begin/当data_r不等于4'b1111的时候进行下面的运行程序data_r<=data_r+1;/进行加法计数c=0;endendelsebeginif(data_r=4'b0000)begin/当data_r=4'b0000的时候进行下面的运行程序data_r<=4'b1111;c=1;endelse begin/当data_r不等

6、于4'b1111的时候进行下面的运行程序data_r<=data_r-1;/进行减法计数c=0;endendendendendmodule/结束模块实验数据 如图为波形仿真结果,当clr为1的时候,输出结果为0000;当clr为0,load为1时,输出结果为输入数据DIN的值,当up_down为1时,加法进位,进行加1运算,当data_r达到1111时,data_r变为0000,级零信号c为1;当up_down为0时,减法进位,进行减1运算,当data_r达到0000时,data_r变为1111,级零信号c为0。实验总结1. 了解二进制加减法计数器的设计,进一步了解,熟悉和掌握quartusII的使用

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论