实验七移位寄存器及其应用_第1页
实验七移位寄存器及其应用_第2页
实验七移位寄存器及其应用_第3页
实验七移位寄存器及其应用_第4页
实验七移位寄存器及其应用_第5页
已阅读5页,还剩3页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、实验七 移位寄存器及其应用一、实验目的1. 掌握中规模4位双向移位寄存器逻辑功能及使用方法。2. 熟悉移位寄存器的应用环形计数器。二、实验原理1. 移位寄存器是一个具有移位功能的寄存器,是指寄存器中所存的代码能够在移位脉冲的作用下依次左移或右移。既能左移又有右移的称为双向移位寄存器,只需要改变左、右移的控制信号便可实现双向移位要求。根据移位寄存器存取信息的方式不同分为:串入串出、串入并出、并入串出、并入并出四种形式。本实验选用的4位双向通用移位寄存器,型号为74LS194或CC40194,两者功能相同,可互换使用,其逻辑符号及引脚排列如图 1所示。图 1 74LS194的逻辑符号及其引脚排列其

2、中D、D、D、D为并行输入端, Q、Q、Q、Q为并行输出端;S为右移串行输入端,S为左移串行输入端,S、S为操作模式控制端;为直接无条件清零端;CP为时钟脉冲输入端。74LS194有5种不同操作模式:即并行送数寄存,右移(方向由QQ),左移(方向由QQ),保持及清零。S、S和端的控制作用如表 1所示。 表 1 2移位寄存器应用很广,可构成移位寄存器型计数器;顺序脉冲发生器;串行累加器;可用作数据转换,即把串行数据转换为并行数据,或把并行数据转换为串行数据等。本实验研究移位寄存器用作环形计致器和串行累加器的线路及其原理。(1) 环形计数器:把移位寄存器的输出反馈到它的串行输入端,就可以进行循环移

3、位,如图 2所示,把输出端Q和右移串行输入端S相连接,设初始状态QQQQ=1000,则在时钟脉冲作用下QQQQ将依次变为0100001000011000,可见它是具有四个有效状态的计数器,这种类型的计效器通常称为环形计数器。图 2电路可以由各个输出端输出在时间上有先后顺序的脉冲,因此也可作为顺序脉冲发生器。图 2(2)串行累加器(了解内容)累加器是由移位寄存器和全加器组成的一种求和电路,它的功能是将本身寄存的数和另一个输入的数相加,并存放在累加器中。图 3是由二个右向移位寄存器、一个全加器和一个进位触发器组成的串行累加器。设开始时,被加数A0AA和加数B0BB已分别存入N+1位累加数移位寄存器

4、和加数移位寄存器。再设进位触发器D已被清零。在第一个CP脉冲到来之前,全加器各输入、输出端的情况为:AA,BB,C0,SAB0S,CC。当第一个CP脉冲到来后,S存入累加移位寄存器的最高位,C存入进位触发器D端,且两个移位寄存器中的内容都向右移动一位。全加器输出为:SAB十CS,CC。图 3 串行累加器结构框图在第二个脉冲到来后,两个移位寄存器的内容又右移一位,S存入累加和移位寄存器的最高位,原先存入的S进入次高位,C存入进位触发器Q端,全加器输出为:SA+B+C, CC。如此顺序进行,到第N+1个CP时钟脉冲后,不仅原先存入两个移位寄存器中的数已被全部移出,且A、B两个数相加的和及最后的进位

5、C也被全部存入累加和移位寄存器中。若需要继续累加,则加数移位寄存器中需再一次存入新的加数。中规模集成移位寄存器,其位数往往以4位居多,当需要的位数多于4位时,可把几块移位寄存器用级联的方法来扩展位数。三、实验设备及器件1、数字电路实验箱2、双踪示波器3、万用表4、74LS194(CC40194)×1四、实验内容1 测试74LS194(或CC40194)的逻辑功能 按图 4接线,即、S、S、S、S、D、D、D、D分别接至逻辑开关的输出插口;Q、Q、Q、Q接至LED逻辑电平显示输入插口。CP端接(正或负)单次脉冲源输出插口。按表992所规定的输入状态,逐项进行测试。图 4 741S194

6、逻辑功能测试 (1)清除:令0,其它输入均为任意态,这时寄存器输出Q、Q、Q、Q均为0。清除后,置l。(2)送数:令SS1,送入任意4位二进制数,如DDDDabcd,加CP脉冲,观察CP0、CP由01、CP由l0三种情况下寄存器输出状态的变化,观察寄存器输出状态变化是否发生在CP脉冲的上升沿。(3)右移:清零后,令l,S=0,Sl,由右移输入端S送入二进制数码如0100,由CP端连续加4个脉冲,观察输出情况,记录之。(4)左移:先清零或预置,再令l,S=1,S0,由左移输入S送入二进制数码如1111,连续加四个CP脉冲,观察输出端情况,记录之表 2(5)保持:寄存器预置任意4位二进制数码abc

7、d,令l,S=S0,加CP脉冲,观察寄存器输出状态,记录之。2. 循环移位将实验内容1接线参照图 2进行改接。用并行送数法预置寄存器为某二进制数码(如0100),然后进行右移循环,观察寄存器输出端状态的变化,记入表 3中。表 33累加运算(不必做)按图 3连接实验电路。、S、S接逻辑开关输出插口,CP接单次脉冲源(正脉冲)由于逻辑开关的数量有限,两寄存器并行输入端DDDD根据实验设备现有条件进行接线。两寄存器的输出端接至LED逻辑电平显示输入插口。(1) 触发器置零使74LS74的由低电平变为高电平。(2)送数令SS=1,用并行送数方法把三位被加数AAA和三位加数BBB分别送入累加和移位寄存器

8、A和加数移位寄存器B中。然后进行右移,实现加法运算。连续输入4个CP脉冲,观察两个寄存器输出状态变化,记入表 4中。表 4CPB寄存器A寄存器QQQQQQQQ01234五、实验预习要求1. 复习有关寄存器及累加运算的有关内容。2. 查阅74LS194、74LS183、74LS74逻辑线路。熟悉其逻辑功能及引脚排列。3. 在对74ILS194进行送数后,若要使输出端改成另外的数码,是否一定要使寄存器清零?4. 使寄存器清零,除采用输入低电平外,可否采用右移或左移的方法?可否使用并行送数法?若可行,如何进行操作?5. 若进行循环左移,图 4接线应如何改接?六、实验报告1. 分析表 2的实验结果,总

9、结移位寄存器74LS194的逻辑功能并写入表格功能总结一栏中。2. 根据实验内容2的结果,写出4位环形计数器的状态转换图及波形图。3. 分析累加运算所得结果的正确性。实验八、综合设计实验一、目的:1、综合应用所学的数字电路知识,学会查找相关资料,针对设计提出的任务要求和使用条件,设计制作合理、可靠、经济、可行的电子产品。2、培养学生独立分析问题、解决问题的能力。3、培养严肃认真的工作作风和严谨的科学态度。4、掌握PCB板的设计,完成电路连接和调试方法。二、实验内容:1、明确设计任务、确定设计题目2、设计电路,选择器件,电路模拟仿真设计功能3、完成电路连接(制作PCB板或用万能板),写出调试测试

10、方法4、设计报告撰写三、设计基本步骤1、明确设计任务要求: 充分了解设计任务的具体要求,如性能指标、内容及要求,明确设计任务。 2、方案选择: 根据掌握的知识和资料,针对设计提出的任务、要求和条件,设计合理、可靠、经济、可行的设计框架,对其优缺点进行分析,做到心中有数。 3、根据设计框架进行电路单元设计、参数计算和器件选择: 具体设计时可以模仿成熟的电路进行改进和创新,注意信号之间的关系和限制;接着根据电路工作原理和分析方法,进行参数的估计与计算;器件选择时,元器件的工作、电压、频率和功耗等参数应满足电路指标要求,元器件的极限参数必须留有足够的裕量,一般应大于额定值的1.5倍,电阻和电容的参数

11、应选择计算值附近的标称值。 4、电路原理图的绘制: 电路原理图是组装、焊接、调试和检修的依据,绘制电路图时布局必须合理、排列均匀、清晰、便于看图、有利于读图;信号的流向一般从输入端或信号源画起,由左至右或由上至下按信号的流向依次画出各单元电路,反馈通路的信号流向则与此相反;图形符号和标准,并加适当的标注;连线应为直线,并且交叉和折弯应最少,互相连通的交叉处用圆点表示,地线用接地符号表示。 四、综合设计报告1、课题名称2、内容摘要3、设计内容及要求4、比较和选择的设计方案5、单元电路设计、参数计算和器件选择6、画出完整的电路图。并说明电路的工作原理7、组装调试的内容,如使用的主要仪器和仪表、调试电路的方法和技巧、测试的数据和波形并与计算结果进行

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论