




下载本文档
版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、实验六 计数器二、实验目的1、以计数器为例,掌握时序电路设计方法。2、熟练掌握ISE软件进行FPGA开发的过程以及实验箱的使用方法。3、了解ISE设计报告中电路资源利用率情况分析。4、掌握使用VHDL创建测试文件的方法。二、设计要求1、 设计一个带使能和同步清0控制的增 1减 1七位二进制计数器;计数结果由两位数 码管显示。2、输入时钟信号接时钟电路的相应输出,复位等控制信号接拨码开关或按键,输出信 号接七段数码管。三、实验步骤1、启动ISE集成开发环境,创建工程并输入设计源文件。2、对设计进行时序仿真,分析设计的正确性。3、 锁定引脚,完成设计实现过程。并在实验箱上连线,利用iMPACT进行
2、程序下载。4、在实验箱上验证计数器的功能,观察并记录实验结果。四、实验报告预习要求:1、阅读教材第二章 2.3节,熟悉ISE软件设计模板使用方法。2、写出计数器的 VHDL源程序。library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity counter isport(clk,clk1,ce, reset, dir: IN STD_LOGIC;a,b,c,d,e,f,g:out std_logic;co: out std_logic_ve
3、ctor(1 downto 0);end counter;architecture Behavioral of counter issignal count_int : STD_LOGIC_VECTOR(6 downto 0);signal s:std_logic_vector(6 downto 0);signal hex:std_logic_vector(3 downto 0);beginprocess (clk)beginif clk'event and clk='1' thenif reset = '1' thencount_int <= (
4、 others=> '0');elseif ce = '1' thenif dir = '1' then count_int<=count_int + 1; else count_int<=count_int-1; end if; end if; end if; end if; end process; co<="01" when clk1='0' else "10" bi1<=count_int(3 downto 0)when clk1='0'
5、 else '0'&count_int(6 downto 4);process(hex)begincase hex iswhen"0000"=>s<="1111110"when"0001"=>s<="0110000"when"0010"=>s<="1101101"when"0011"=>s<="1111001"when"0100"=>s&l
6、t;="0110011"when"0101"=>s<="1011011"when"0110"=>s<="1011111"when"0111"=>s<="1110000"when"1000"=>s<="1111111"when"1001"=>s<="1111011"when"1010"=>s&l
7、t;="1110111"when"1011"=>s<="0011111"when"1100"=>s<="1001110"when"1101"=>s<="0111101"when"1110"=>s<="1001111"when"1111"=>s<="1000111"when others=>s<="
8、0000000"end case;end process;g<=s(0);f<=s(1);e<=s(2);d<=s(3);c<=s(4);b<=s(5);a<=s(6);end Behavioral;3、画出测试基准波形文件以及计数器的输出。End Tims: 6000 ns1DQ*JOO13001900250031D&370043D0IIIII1IIIIIIIII11刖lxQ J-LrLTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTT-rLrLrLr1 T汕比1厂BLfl dr1yjl WSETt0 1 1B
9、LD dkl 侧0 J-LTLTTJTTTTTJ-LrLJTTTJ-LrTTTTTTTTTTTTTTTTTJ-LrLn-r01_TUlo0Q伽0D讪a0EI$C«41'Q|ZhQ- (2nfl004、写出实现测试基准波形输出的VHDL源代码。LIBRARY ieee;USE ieee.std_logic_1164.ALL;USE ieee.std_logic_ un sig ned.all;USE ieee .nu meric_std.ALL;ENTITY cou ntertest_vhd ISEND coun tertest_vhd;ARCHITECTURE behavio
10、r OF coun tertest_vhd IS-Comp onent Declarati on for the Un it Un der Test (UUT) COMPONENT coun ter7PORT(clk : IN std_logic;clk1 : IN std_logic;ce : IN std_logic;reset : IN std_logic;dir : IN std_logic;a : OUT std_logic;b : OUT std_logic;c : OUT std_logic;d : OUT std_logic;e : OUT std_logic;f : OUT
11、std_logic;g : OUT std_logic;co : OUT stdo gic_vector(1 dow nto 0);END COMPONENT;-I nputsSIGNAL clk :std_logic := 'O'SIGNAL clk1 :std_logic := '0'SIGNAL ce :std_logic := '0'SIGNAL reset :std_logic := '0'SIGNAL dir :std_logic := '1'3 / 6-OutputsSIGNAL a :std_log
12、ic;SIGNAL b :std_logic;SIGNAL c :std_logic;SIGNAL d :std_logic;SIGNAL e :std_logic;SIGNAL f :std_logic;SIGNAL g :std_logic;SIGNAL co: std_logic_vector(1 downto 0);BEGIN- Instantiate the Unit Under Test (UUT)uut: counter7 PORT MAP(clk => clk, clk1 => clk1, ce => ce, reset => reset, dir =&
13、gt; dir, a => a, b => b, c => c, d => d, e => e, f => f, g => g, co => co);tb : PROCESS BEGIN- Wait 100 ns for global reset to finishwait for 100ns;clk<='1' after 100ns,'0' after 200ns,'1' after 300ns,'0' after 400ns,'1' after 500ns,
14、'0' after 600ns,'1' after 700ns,'0' after 800ns,'1' after 900ns,'0' after 1000ns,'1' after 1100ns,'0' after 1200ns,'1' after 1300ns,'0' after 1400ns,'1' after 1500ns,'0' after 1600ns,'1' after 1700ns,'
15、;0' after 1800ns;clk1<='1' after 100ns,'0' after 200ns,'1' after 300ns,'0' after 400ns,'1' after 500ns,'0' after 600ns,'1' after 700ns,'0' after 800ns,'1' after 900ns,'0' after 1000ns,'1' after 1100ns,'
16、;0' after 1200ns,'1' after 1300ns,'0' after 1400ns,'1' after 1500ns,'0' after 1600ns,'1' after 1700ns,'0' after 1800ns;reset<= '1' after 100ns,'0' after 300ns; dir<='1'ce<='1' after 500ns; - Place stimulus h
17、ere wait; - will wait foreverEND PROCESS;END;实验记录:1、设计记录表,记录引脚锁定与连线情况。ISE软件实验箱端口名称芯片引脚号IO类型编号aB4OIO1bA4OIO2cD5OIO3dC5OIO4eA6OIO5fB6OIO6gE7OIO7elkD7IIO9clklC7IIO10eeF7IIO8co(1)E8OIO12co(0)F8OIO11dirA13IIO21resetB13IIO222、记录实验现象,分析实验结果。 功能仿真:NOW: 3000 ns1TI5ItoooI,I2000,iI ,3000胡少1OnnI Ir:nnL匚n匚1二I Il
18、Jtztutz丄Lif'dn训|也LJ1uLC匚* _1二t1匚二L1:ro二ir* '1二4IJ电,ILJirjorE二r-no匚n=时丽莎:亟莎:Zhi':'c;zni:i同豳:话:垂組.门|1jcZZ-Jocuc匚二j匚也IK:0*nnntnoMtznoHo时序仿真:7F。3、查看设计报告,记录此计数器所用的逻辑资源。Device Ulilizati-oin.L«.(i c Utilii onUsedvkiliiiblieUiLili. z<ti anH«tC! (s)Jlijn'b*!* of Slic4 Flap79,312IXof <1 input LUIs23g.aiz1亀L«gic DiEtri.hTkti*iiITuntftr af ecc
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 渡槽施工方案
- 排水施工方案
- 液压玩具模型施工方案
- 场站路基填筑施工方案
- 庭院毛石改造施工方案
- 烟台冷库安装施工方案
- TSHJMRH 0064-2024 在用润滑油磨损金属和污染物元素的测定 旋转圆盘电极原子发射光谱法
- 二零二五年度车展活动展位搭建与品牌宣传合同
- 二零二五年度超市店长入股合作协议书
- 2025年度餐厅员工劳动合同保密条款
- 中考复习物理力学部分综合试题(人教版含答案)
- 《多元化之教学评量》课件
- BCP业务连续性管理手册
- 2024年湖南铁路科技职业技术学院单招职业技能测试题库及答案解析word版
- 2024年中考英语第一次模拟试卷-(广州卷)(全解全析)
- 三年级数学《搭配中的学问》 全国一等奖
- 谱学导论课件
- 2024年医保知识题库及答案(通用版)
- 使用农产品承诺函
- 神经根型颈椎病教学查房
- 分式方程说课王彦娥
评论
0/150
提交评论