




版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、长 沙 学 院课程设计说明书题目 带有偶效验电位数据发生器 系(部) 电子与通信工程 专业(班级) 电气二班 姓名 学号 指导教师 起止日期 2012年12月16-28号 电子设计自动化设计任务书系(部):电子与通信工程系 专业:电气工程及其自动化 指导教师:瞿 曌课题名称带有偶校验位的数据发生器设计设计内容及要求试设计一个带有偶校验位的数据发生器。该数据发生器具有三个输入端,输入为一组并行3位二进制数码,输岀是一组串行(低位先行)的四位二进制数码,其中串行输岀的数码的最后一位(即最高位)为偶校验位(由数据发送器输出时加入),前3位依次为数据位,当输入的3位二进制码中有奇数个1时,使其偶校验位
2、为1,否则为0。同时数码管也显示输岀的四位二进制数码。系统提供50MHZ频率的时钟源。完成该系统的硬件和软件的设计,并制作出实物装置,调试好后并能实际运用(指导教师提供制作所需的器件),最后就课程设计本身提交一篇课程设计说明书。 设计工作量1、VHDL语言程序设计;2、波形仿真;3、在实验装置上进行硬件测试,并进行演示;4、提交一份完整的课程设计说明书,包括设计原理、程序设计、程序分析、仿真分析、硬件测试、调试过程,参考文献、设计总结等。进度安排起止日期(或时间量)设计内容(或预期目标)备注第1天课题介绍,答疑,收集材料第2天设计方案论证第3天进一步讨论方案, 对设计方案进行必要的修正,方案确
3、定后开始进行VHDL语言程序设计第4天设计VHDL语言程序第59天在实验装置上进行硬件测试,对VHDL语言程序进行必要的修正,并进行演示第10天编写设计说明书教研室意见年 月 日系(部)主管领导意见年 月 日长沙学院课程设计鉴定表姓名学号专业电气工程及其自动化班级2班设计题目 带有偶校验位的数据发生器设计指导教师指导教师意见:评定等级: 教师签名: 日期: 答辩小组意见:评定等级:答辩小组长签名:日期:教研室意见:教研室主任签名: 日期: 系(部)意见:系主任签名:日期:说明课程设计成绩分“优秀”、“良好”、“及格”、“不及格”四类; 目录第1章 设计方案与论证51.1 设计内容及要求5第2章
4、 模块设计62.1 分频模块62.2 顶层文件7第3章 总体设计与仿真103.1 总体设计方案103.2 引脚分配15实验总结与体会16参考文献:161 设计内容及要求试设计一个带有偶校验位的数据发生器。该数据发生器具有三个输入端,输入为一组并行3位二进制数码,输岀是一组串行(低位先行)的四位二进制数码,其中串行输岀的数码的最后一位(即最高位)为偶校验位(由数据发送器输出时加入),前3位依次为数据位,当输入的3位二进制码中有奇数个1时,使其偶校验位为1,否则为0。同时数码管也显示输岀的四位二进制数码。系统提供50MHZ频率的时钟源。完成该系统的硬件和软件的设计,并制作出实物装置,调试好后并能实
5、际运用(指导教师提供制作所需的器件),最后就课程设计本身提交一篇课程设计说明书。2 大体步骤1. 课题介绍2. 设计方案论证3. 进一步讨论方案, 对设计方案进行必要的修正,方案确定后开始进行VHDL语言程序设计4. 设计VHDL语言程序5. 在实验装置上进行硬件测试,对VHDL语言程序进行必要的修正,并进行演示根据要求,该数据发生器具有三个输入端,输入为一组并行3位二进制数码,输岀是一组串行,输岀是一组串行的四位二进制数码,其中串行输岀的数码的最后一位(即最高位)为偶校验位,前3位依次为数据位,当输入的3位二进制码中有奇数个1时,使其偶校验位为1,否则为0。同时数码管也显示输岀的四位二进制数
6、码。系统提供50MHZ频率的时钟源。2.1 分频模块2.1.1 vhdl语言程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity fenpin isport(clkk: in std_logic; y: out std_logic);end fenpin;architecture one of fenpin issignal full:std_logic;beginp_reg:process(clkk) variable cnt:integer range 1 to 10;begin
7、 if clkk'event and clkk='1' thenif cnt=5 thencnt:=1;full<='1'else cnt:=cnt+1;full<='0'end if;end if;end process p_reg;p_div:process(full)variable cnt2:std_logic;beginif full'event and full='1' thencnt2:=not cnt2;if cnt2='1' theny<='1'e
8、lsey<='0'end if;end if;end process p_div;end;2.1.2 程序仿真根据vhdl程序要求仿真结果如下:2.2 顶层文件2.2.1 vhdl语言程序LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;use ieee.std_logic_unsigned.all;ENTITY SHIFT ISPORT (CLK,en: IN STD_LOGIC; DIN: IN STD_LOGIC_VECTOR( 2 DOWNTO 0); led_selout:out std_logic_vector( 3 downt
9、o 0); LED7S: OUT STD_LOGIC_VECTOR(6 DOWNTO 0); QB : OUT STD_LOGIC); END ;ARCHITECTURE hav OF SHIFT IS COMPONENT fenpin PORT(clkk: in std_logic; y: out std_logic); END COMPONENT;SIGNAL REG:STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL CY,clkd :STD_LOGIC;signal di:std_logic_vector(2 downto 0); SIGNAL D:STD_LOGI
10、C_vector(3 downto 0); signal wei:std_logic_vector(1 downto 0); begin u1:fenpin port map(clkk=>clk,y=>clkd); process(clkd) begin if clkd'event and clkd='1' then if wei="11" then wei<="00" else wei<=wei+1; end if; end if; end process; process(wei) begin case
11、 wei is WHEN "00"=>LED_selout<="0001" WHEN "01"=>LED_selout<="0010" WHEN "10"=>LED_selout<="0100" WHEN "11"=>LED_selout<="1000" when others=>null; END CASE; END PROCESS; PROCESS( en,clkd) BEGIN
12、 if clkd'event and clkd='1' then IF EN='1' THEN CY<=DIN(0 )XOR DIN(1) XOR DIN(2); REG<=CY & DIN; else REG(2 DOWNTO 0)<=REG(3 DOWNTO 1); END IF;END IF; QB<=REG(0);D<=cy & diN; END PROCESS; PROCESS(d,wei)BEGIN if wei="00" then case D(0) iswhen '
13、0'=>led7s<="1000000"when '1'=>led7s<="1111001"when others=>null; end case; end if; if wei="01" then case D(1) is when '0'=>led7s<="1000000"when '1'=>led7s<="1111001"when others=>null; end case
14、; end if; if wei="10" then case d(2) is when '0'=>led7s<="1000000" when '1'=>led7s<="1111001" when others=>null; end case; end if; if wei="11" then case d(3) is when '0'=>led7s<="1000000"when '1'=&
15、gt;led7s<="1111001"when others=>null; end case; end if;end process ; END HAV;2.2.2 程序仿真 根据程序要求仿真结果如下: 第3章 总体设计与仿真3.1 总体设计方案 以上各个模块通过仿真测试后,将上述设计的各模块通过一定的连接关系整合起来即得到总体设计方案。总体程序如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;use ieee.std_logic_unsigned.all;ENTITY SHIFT ISPORT (CLK,en: IN ST
16、D_LOGIC; DIN: IN STD_LOGIC_VECTOR( 2 DOWNTO 0); led_selout:out std_logic_vector( 3 downto 0); LED7S: OUT STD_LOGIC_VECTOR(6 DOWNTO 0); QB : OUT STD_LOGIC); END ;ARCHITECTURE hav OF SHIFT IS COMPONENT fenpin PORT(clkk: in std_logic; y: out std_logic); END COMPONENT;SIGNAL REG:STD_LOGIC_VECTOR(3 DOWNT
17、O 0);SIGNAL CY,clkd :STD_LOGIC;signal di:std_logic_vector(2 downto 0); SIGNAL D:STD_LOGIC_vector(3 downto 0); signal wei:std_logic_vector(1 downto 0); begin u1:fenpin port map(clkk=>clk,y=>clkd); process(clkd) begin if clkd'event and clkd='1' then if wei="11" then wei<
18、="00" else wei<=wei+1; end if; end if; end process; process(wei) begin case wei is WHEN "00"=>LED_selout<="0001" WHEN "01"=>LED_selout<="0010" WHEN "10"=>LED_selout<="0100" WHEN "11"=>LED_selout&l
19、t;="1000" when others=>null; END CASE; END PROCESS; PROCESS( en,clkd) BEGIN if clkd'event and clkd='1' then IF EN='1' THEN CY<=DIN(0 )XOR DIN(1) XOR DIN(2); REG<=CY & DIN; else REG(2 DOWNTO 0)<=REG(3 DOWNTO 1); END IF;END IF; QB<=REG(0);D<=cy &
20、 diN; END PROCESS; PROCESS(d,wei)BEGIN if wei="00" then case D(0) iswhen '0'=>led7s<="1000000"when '1'=>led7s<="1111001"when others=>null; end case; end if; if wei="01" then case D(1) is when '0'=>led7s<="10000
21、00"when '1'=>led7s<="1111001"when others=>null; end case; end if; if wei="10" then case d(2) is when '0'=>led7s<="1000000" when '1'=>led7s<="1111001" when others=>null; end case; end if; if wei="11" then case d(3) is when '0'=>led7s<="1000000"when '1'=>led7s<="1111001"when others=>null; end case;
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 2025年HDTV彩色显像管及其材料和部件合作协议书
- 佛山国五道路施工方案
- 2024-2025学年下学期高一语文第四单元B卷
- 科学合理施用肥料对农产品质量的影响及高效解决措施研究
- 专项施工方案评审
- 智研咨询发布:中国海缆敷设船行业市场发展环境及前景研究报告
- 新未来大学英语 视听说教程1(智慧版) 听力脚本 Unit 6
- 新课标下高中生物生活化教学策略研究
- 江西省赣州市2024-2025学年高一上学期1月期末考试政治试题2
- 高考物理一轮复习课时跟踪检测(三十一)磁场的描述磁场对电流的作用(重点高中)
- 达格列净治疗心衰机制
- 2024年保育员(初级)证考试题库及答案
- 40篇英语短文搞定3500个单词 正文
- 2024年度《冠心病》全套课件(完整版)
- 正面吊安全操作规程培训
- 2023年台州市中考科学(正卷)和答案
- 经典美味的宫保鸡丁
- 孤独症儿童心智解读能力
- 2023-2024学年人教版(2019)必修 第三册Unit 2 Morals and Virtues Reading and Thinking 课件(22张)
- 特需病房服务流程
- 横贯性脊髓炎演示课件
评论
0/150
提交评论