四位二进制减法计数器_第1页
四位二进制减法计数器_第2页
四位二进制减法计数器_第3页
已阅读5页,还剩16页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、成绩评定表学生姓名XXX班级学号XXX专业通信工程课程设计题目四位二进制减计数器评语组长签字:成绩日期20 年 月曰课程设计任务书学院信息科学与工程学院专业通信工程学生姓名XXXXX班级学号XXXXX课程设计题目四位二进制减计数器(缺 0100,0101,0110,1000 ,)实践教学要求与任务:1、了解数字系统设计方法2、熟悉VHDL语言及其仿真环境、下载方法3、熟悉Multisim环境4、设计实现四位二进制减计数器(缺 0100,0101,0110,1000)工作计划与进度安排:第一周 熟悉Multisim环境及QuartusH环境,练习数字系统设计方法, 包括采用触发器设计和超高速硬件

2、描述语言设计,体会自上而 下、自下而上设计方法的优缺点。第一周 在QuartusH环境中用VHDL语言实现四位二进制减计数器(缺0100,0101,0110, 1000)显示结果波形,并下载到目标芯片上,在实验箱上 观察输出结果。在Multisim环境中仿真实现四位二 进制减计数 器(缺0100,0101,0110,1000 ),并通过虚拟器验证其 正确性。指导教师:201年 月曰专业负责人:201 年 月曰学院教学副院长:201 年 月曰摘要Quartus II是Altera公司的综合性 PLD/FPGA开发软件,支持原理图、 VHDL、 VerilogHDL 以及 AHDL (Altera

3、 Hardware Description Language 等多种设计输入 形式,内嵌自有的综合器以及仿真器以完成从设计输入到硬件配置的完整 PLD设计流程。Multisim 是 In teractive Image Tech no logies (Electro nics Workbe nch 公司推出 的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。 它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。Multisim为用户提供了丰富的元器件,并以开放的形式管理元器件, 使得用户能够自己添加所需要的元器件。本次数电课程设计使用 Qu

4、artus II仿真环境以及VHDL下载和Multisim仿 真环境来编译实现四位二进制同步减法计数器。 在Multisim中选用四个JK触发 器来实现四位二进制减法计数器。 运用卡诺图求解时序方程。逻辑电路图中,四 个小红灯即为显示器,从右到左显示时序图中的十二种状态,其中,灯亮表示“ 1”, 灭表示“ 0”,从而达到计数目的。关键字:VHDI硬件描述语言、四位二进制减计数器、QUARTUS、Multisim、目录一. 课程设计目的.1二. 课设题目实现框图.1三. 实现过程 .1(一)VHDL勺编译和仿真 .11. 建立工程 .12. VHDL 源程序43. 编译及仿真过程 64. 引脚锁

5、定及下载 .95. 仿真结果分析 11(二)电路设计 111 求驱动方程 122. 基于 Multisim 的设计电路图 .153. 逻辑分析仪显示的波形 . 154. 仿结果分析 16四. 设计体会 16五. 参考文献 17'课程设计目的1. 了解同步减计数器的工作原理和逻辑功能;2. 学会用VHDL语言对计数器进行编译和仿真;3. 掌握Quartusll的使用方法;4. 掌握Multisim的使用方法。状态图1111 10A0000 0001】、课程设计实现框图H04*1100_ 011 -0400010- 001 111 T001(缺少 0100,0101,0110,1000)三

6、、实现过程(一 )VHDL的编译和仿真1. 建立工程创建一个工程,具体操作过程如下:(1) 点击File - > New Project Wizard 创建一个新工程,如图1;2dNow Project Wirdi Introduclt i ornThe New Project Wizard helps you create a new project and pfeliminary project settings, includling thefollOWilHiQ:ProjecJtand directcifpName of the top-leve) design entity P

7、rojeet files and librariesT arget device family and deviceE DA tool settingsYou ean chan口匕 the settinqs for 已n eKistin口 Etfoject and speeily addjitionall proneet-wide seHinqs uith the Settings command (Assignments menti). You can use the various pages of the Settings dialog box to add tunctionality

8、to the projeet-' Don't sKovm me this introduction 自口自诫!m_i&iaiai4i juMuuuuuLikiLi&iaiaidiJLJUMUuuMkiLiLisiaiaidiJuiaiSTiiuuLir Back取消 I(2) 点击Next,为工程选择存储目录、工程名称、顶层实体名等,并点击Next,CnncitmHiianrMHWUhw duaHui iBCwnu I lsn 匕- kEt:utr血i CagiUfa* i :'<'性qr<riT目录;2.AH". I:fi

9、t 活i号百凶世即溶HtSSS'K3 H ”*用冬S tl若目录不存在,系统可能提示创建新目录,如图2所示,点击“是”按钮创建新(3) 系统提示是否需要加入文件,在此不添加任何文件,如图3;New Project Wizard: Add Files page 2 of 5Salact the design files au want to include in the project Click Add All to add all design files in the project directory to the project. Note: you can always ad

10、d design files to the project later.file name: |Fil nnmBUser Libraries.Specify the path names of any non-default libraries.<I| Finish |取"j肖(4) 点击Next,进入设备选择对话框,如图4,这里选中实验箱的核心芯片CYCLONE 系列 FPGA 产品 EP1C6Q240C8;(5) 点击Next,系统显示如图5,提示是否需要其他EDA工具,这里不选任何其他工具;图5(6)点击Next后,系统提示创建工程的各属性总结,若没有错误,点击Finis

11、h, 工程创建向导将生成一个工程,在窗口左侧显示出设备型号和该工程的基本信 息等。2.VHDL源程序library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;en tity coun t10 isport(CP,r:i n std_logic;q:out stdo gic_vector(3 dow nto 0);end coun t10;architecture behavioral of coun t10 issignal count:std_logic_vector(3 downto 0); begin

12、 process(cp,r)beginif r='0' then count<="1111"elsif cp'event and cp='1' thenif count="1001" then count<="0111"else count<=count-1;if count="0111" then count<="0011"else count<=count-1;if count="0000" then

13、count<="1111"else count<=count-1;end if;end if;end if;end if;end process;q<=count;end behavioral ;3. 编译和仿真过程(1) 点击File->New创建一个设计文件,选择设计文件的类型为VHDL File,如图6;日十連z freiea fissia-wis 申疋部口42*R-iMxFKstsdia'Et-itF魯 CfEiiM enracn+ CTra.M O 冷T*KJi J HUm1 tCMfilsi im I.叶 IHTilHgNm-KK

14、adSprt豆D開SPkH fiMPL 吟Hki土 D ap,m"j chnc I fa ESlFFta£m« MKtnFAa 沁科MEHDLFt Td5 电nn-W nrin-kd iHvtFnWl Fh NUjikMhiRa 曰 VlkrWnrikjQQirig Ret卯HdLArjar I nivtif* FdaSiffirfTaf-hLaxAnxM F Tadn' W«¥vta n FArLi DhnFItea44DLhc<KkFk!Diki DiijUftKrifaiT«dF>HW Uii rlui lD

15、 BfU4Ellen:灯rt4 g PiMiEmgEdiaHc- Emf|:TR冲 |离 if T 4 lldW用1诚晦(2) 在编辑窗口中编辑程序,如图 7;Q,.ATu!.n .'t&wnilO.CQ.nilC - fVhtl M,|备 日亡 田 .衣Er 片叶由 酗击虞!归 &CjCrt*si iNll 卧dim 出社” Hn-£«XFitaA,iQli3'IJ1K4 = FktrRjCS3 F£CCC33心* 曲 Ief. kPr*u«iC-Mld laci p AuLfii * 巧nllMm31“牡 CfElni

16、i* ctoALOE- PahwAi3V"KLtt 'Pmrrw<R .ry"inF fihn? ><= Dajai.d Tlthii AidLiai.i S El* FriKli el nrriiATentity Esuat 2Q 13 poiTjCPEiifi 5id_laqiad qituc-曲uiD_FfeE心工 gal dwnx4 0-U j年ndMrcixxHccuF* b-ihjiviazBl oE" ceutieID ua丄 =:_:.工二:.己一丄c_tr'* - - : i3 d:'irr.E»

17、;: : I :beginCxoatsiiepiZ) btqi-nIf l0! ?hvn«LlllC CP 1 BVKHE. ATl Cf« 1 I'1 EIE.iiE «m.,=""lDnir 'EfbiEZ *£raet<«"0111«S tlse eauncceweT-ij- if rainc-"Qlll, Tfien 9wa?<-llQQ3 3rF|xf hwiu口Dg=hanelse caunti<-«)Hje.t-ij «d if

18、j«gdi if j gd xfr(3) 输入程序后,存盘;(4) 点击Process in g->Start Compilatio n编译该文件,系统将开始编译,结束后,给出提示信息和编译结果,如图8所示:U科Z。魯£L/wriJ - vyUiLOA Kipntt - i*l*v iucuej-I|:-f1E mDj U l+ip.Bi.<ri 伞 SLhh D*gB««£l-=i 刚 Aih- w|<FL FT 3 V4VJ riirr 5feLlbhfLFt ar TI3l AdU,FLir Eli(-»d 3

19、1m母HH FLn- SB Smam-i- S-A FL"* L«e A-_i 打Sn e L 珅*“ A I FlLln-T-JfciiRiLir _| 1lhie< AcrilwrFL-R-3I.H4I知“ n Vvr.iMbb I mbZkfSLLjr Iim- io in a ie- w i? £ii3 | I fiteiU i置 uva/fua 5J ML Vwr.il WI| Q rkul DainiJMi |rycicjiETiLte<taCl? H.IM I »> 5/ S CPU:STMZejTe!: rsurd: u

20、nrru"!; - -rr#d_,tt-tzEflj_fxL«»3BS -aJ.««£E »*yOL _u vyOL - xlsjnar*Lyn_i_zulyEtfd: FwrdLJ.L EO:LBiL:ri LI KiUSild Ifd nlL bE 匸恤 3 pr=U:EH dftKEfrd眉汕口軻 FiM PHI, fWCl«LE<l 酣 咖祇l±td 亡Le4HtWH曲rg:EbJiS:ZliKh* Tpu Xseimj Mih u 7UEF3.ewd « 779-03 ICi b

21、atwi: vjEBi Eiutar "Ewnt |G|" gd dutsiwtisfl eitjjeit ":dcbe |1|" E£ditJak "£(" Ed fia-JEUTriiTLEri" EhT!3C#b E«gUCI-T "CJUXIE |0| " M l-US Mei!LAIL:6 wrbut M pflrALLrl cpcT4£MjohEEU Ej CLantL-: Twit耳 AxMlyE= vir xjuiafuL. D iflEFEO, 1

22、 virls* 羽 期门Li宅Id mm 冲j Hrajrfa *cfo:卡ClD Rimwy iMl E»*i hb k i 内肯 H k «e¥PI h 口他才小"*丿 貝 Er k 中 JWKEl k*0 FJTMT 'ft ?!胃吨 kriad<aEl 1(5) 建立时序仿真文件,选择“ Vector Waveform File” 如图9;Ct tf M 01 «' nrAi.nn夙/r Q書浄: RF h*. 75 0 t e «? i a爭 mm.vM尋 rwfdWiu n»(MC rLwv

23、 iwaiy4皿刘 | Q riu I DuviUMiJ3h_j C-:4d4>.i4a. hf «ri liaJ Hld PilR1 riirrm*«VT亞 UhhfLfl.JULELtf-aad 1im is rwwrFlwn.rFl- UeAiHlyai £ L rVlKLa irLmri*faiiLirTuhe< AmIht| Stei.S"e£i?:二osund: 7MiEtu_tir. -rv«d_m.ezcqi_fxL*B2Itfa: Evo'iiLlaL EcLSk-ZLiiu li kule-Sh

24、J ind *nlL o* 3 bE 匚3 pr«:a%u-=EH docxid m&bLSti PmiM plfil 戢tlixai闻 4d uME71±Ed eLiMSS UM'i!:£>可 旳崖H曰 rs:Elf£11rsirs:陽靱Cyd:Cj» CTILE<t54 f £(< L 5 1b d “ iDo? «.ie# i 耳)Q/ a- 01ZlMk1 甲厲 Incirai-li ruEnct«d tc 279-D ICi birmn kuecs cai-iEaT -e

25、twe |G| in-d driEXiwcL&a nUEir ":eu3E |1| "E£d trda cJock "cf raa ukninmcri "<|A|" EhT-asftb ehjijci-t "uuc |A|" I.U3 r*MiaZlJtL ttLAlL=fi Marbul M piraLLrl cptTMiMLi klk PeEdcwcImeeu lj CLanL-: Tjcle Acal-fEir nr rjsajaful. D veetm, 1 wvrls# 與门 CMfr! L

26、lQ-lM mm KiMCKm 4 fmiilij 9 MfendMI(6)出现的界面中,在 Name空白处击右键,Insert Insert Node or Bus,单 击N血也如:单击_g ,再单击勺I OK OK并对其进行仿真,如图10所示;I 4' Uube*! ux i - E:79111 cmnt L0 - I<mai1 It. rrf l-样1*« |lL*: i'LTT Ijrfl-JREl Jp-31此”日卅牛 tLl llErra |«1-ff X Gf M 0 d叭讪rnt工害曲:P>审邮1为E>|ed莎唱| Otai

27、 l Itn Hgr EtlJj lU* teilvi迪 CLuri STIO»2«K1|山h4 M<iI 呂 Fbi | jPDu 存 iki |T4n-ai ibT-ull?=-: 'h p.v o' kapEi'i 4 Zfi'Meii> x r r.!:!.!4” Banahlar l:Jurl |irB|pm& 4*11 .rnJ sZ ClwaK Fi«- re Oaljni _ Ei- E3U IkdLEL triiririP* Fb( Im O,fHL h梆 MB JsE=: Z=irt FoLL

28、 -nurlaiT.i.zn -at Htj 2 33 Li :«:« 2317 * J Sit >tfi q£=-: Jeict4± Jr=c*BU= =F*TdE3ca 匚 口匸 J*j£. LS 3T:4l£iJ 3&13ntti GaLfHEiDg ik皿氓 LHK3 3at=-: Atik 3 «E.uL=r JI1£ R Ed 4a33C>UEraTh£&: C=EJmiu*TLECi >-E£M3>d - 1 dr*JL:a |J| Uate

29、pUMKl ififmi Ail£M99fuLLy pfi2!4iBtd Of詰4卩歯"9aE=: E=ud En3-jEK5B«£ iincL:匚 he Htj 幣 lsW: 13- 2433 5ji>m 牌£ 赳*31 k E.申Hd人h 就*测眉、整a.宜' E立 k亏耳钟日 k FM /.*r:Mku# 1 74图10(7)仿真结果如图11:ml>.!% K>E)l tlBISfV牌吟创Z1VJ谕灯 irt婆EJOQJ/iy'L!:战 .AH“汕- iinilp -Riyi 中网*% 孚亡 尿X 0E

30、Z和 驰粋曲时& 先aCMSXa IiMh 週貝ddw 出厲- ff-JL |snH0AFYiaFtai-Qaia'CMTElLI-rtTdLnpdttai HkoI Ftoft b>xn<jFSmJfriQfi Rffwre 占曲 Legd Holkv Jin P«» Simn aqrSi&w出 rwrury£irruIrian gWwhsrrm :盘Qx-碎 ini /闸FVU尹思SimuUtain Wawfonni1565 * ddFjj1*3Vhlw- 1 L*5 KUri.iChA 03a <*(15r1li&a

31、mp;D D i».jsu y ujri_7LJirLrLn_n_rLrijjLjTr:m/ii*可冋冋何昨re?茂师丽Itfi眾(uI)LEtf=:5UUL»E3& ptkEE.LEJ.ZEHd 3SEJ1 TUJ 1KX.L ZQrJ&£: Ebfi EilSbKiLiiEict nmiEtqw Lv HUrix 班 T.e*3>LC1o&j Lb jucua EuaLlie-9.99- N31±ulj.l&M. 1# !± 9W<HMCul. B eZEMS.0 HaE&&3&#

32、187;I prin11| jhooiEnna l|lO| £ Ewliia 人 Iniafiq 人 匕1mgCrAoriZ'nig h liqspiauad R Ftap fjj*jT图11(8)仿真后存盘。4. 引脚的锁定及下载各引脚的锁定如表1所示:信号名称引脚cp28q3114q2115q1116qO117r58引脚的锁定和下载分别如图12和图13所示:書 ry.Ahi n -VCOrnimC - nilp - |0<¥>4«丽.Rqw - E nMfH侶 牘火吶创 Ee J< jJr-A'片D.r":>

33、ji" "»7i !-a ri.i>j"r,i q j. vi> 丄HriymKirAA-yaia-Eh:LV|T血 C/Eliu EflCMZVm 盅mraW)禹 cun1lj-4idJ 坊 匚.id f Rol Fto* bnu?| 酋 ccjltLwriJ 曲 FX |(W(C"rilii iwifidQrI-y S AErtlrii a 1- rii.Mn Tl二1.->=! EEk FaQi eFrsp'-M liFI El:eJ=-: EeJ=: E&ti El£>IQui44-q-

34、 DPla01* £d 套 垃 Pjccinniingi J«4a 如 rt=*i- «h«nd |Tp-Vin乩刊r:j-y1OwwiLmdw1if- &HH 3L2O 灯|力CupA*S7a|2声叽価*4匚*nFur H*Ipl praFH!( piAnn1| jhooiEDng l(lQ| EwliiD Iniafl4CnkcriVanng» Erw & ifipcuad » F* fAl Al I號 10 B-zrriEP1CM34KE怦jaJMrJW«+J*MBaWiKkrd*LhTTl l*M:I

35、3.3 p. lMT_ iMlaJs.l J茫AE筈*川 SAfLffft (fcfaulfl1 * aM-li?'* ® 1 ' *的_斶:话图12 Qciarrurt GT - £1|/" !/>- 0 3 土鼻同仲1 WaiMu1 br伍.ItlwEtrinUrHDd«ISF IUHF亠H1UnCEGMnmmzi nemri1 I£®BEl Bi lj L hWLUkliMidlHi曲4« mIfclfcRjFalla |tT lup-iUrl勒 I:浮Liu IN324K1匸Jt剖Z«

36、 DWII"-lluJiQ-=1+ KaJpuz : 口-.B riv I Dai4->护 Ik l-ihHFtwm- RmchibEL Fl:''、討応-別 R 2ef 1恂 X ErfMji创I® hZI1 jWBTjUi'ORWfl&M X '3KlWTwd J( Flffl /FJI5. 仿真结果分析结果分析:由仿真波形图可以清晰的看出减法计数器的工作过程,由1111起依次递减,最后减至OOOO后再由1111起进行下一个周期的循环,其中缺少0100,0101,0110,1000两个状态。当复位键复位后,回到 1111重新

37、开始循环。2. Multism实现过程1 求驱动方程相关结构示意框图和状态转换图见上 (二)所示步骤。选择四个时钟脉冲下 降沿触发的JK触发器,因要使用同步电路,所以时钟方程应该为 CP0 =CR =CP2 =CR =CP(1)求状态方程由所示状态图可直接画出如图2.1所示电路次态q3 1 Q; 1 Qn 1 Q011的卡诺图,再分解开便可以得到如图2.2所示各触发器的卡诺图。要的逻辑门外,没有专门的运算电路;计算结果由电路中的各个触发器记忆和表 示;计数器计满之后自动归零,若再输入CP脉冲又会从零开始计数,在CP脉冲 作用下,它总是周而复始循环工作的。 Qin QoQan dl0001111

38、000111100000010000101XXXXXXXX0011XXXX11101111001110110110XXXX011110101001n 1b. Q 3的卡诺图:Q; Qo Qa Q;.0001111000厂1、00001XX0X11r1X.1? 11 )10< X >01J1c. Q;1'的卡诺图: Q;Q;Q3 q2 '、000111100000001XX10110广1、厂1L1101<J00n 1d. Q,的卡诺图:1n Q;Q?Q;0001111000f 1>0广1001XX1X11101O10X J11L J0e. Q0 1的卡诺图

39、: Q?QoQa Q;0001111000r>00r 101XX1XJ11100110XJ1JO1(2)状态方程Q3 1 =Q:Q0 QsQ2 Qg:Q2n 1 =Q21Q1nQ01 Q3nQ1nQQ31Q2,QinJ3 =q;q1J2 =Q; Q0 Q3jqO1 q31q21Jo - 1Q: 1 = Q; Q01 - Q1nQ; Q3nQ2Q0Q0 1 二 Q01 Q31Q2 Q31Q2nQ1n(3)驱动方程JK触发器的特性方程 Qn JQn KQn将状态方程与特性方程比较,可得驱动方程,如下KQ2Q;1Q01心二 Q31 Q: -QoQ 二 Q0Ko 二 Q?Q; QbQQ;2. 基于Multisim的设计电路图根据上面计算出的结果的不同J和K值选择不同的元件进行电路的连接。 电路图立件;w fti ha mu Ad: iadir tQ «JnD MR鸳逢3樹.|幽丨岸卄皿* i* 厂 * ?"T-1K +

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论