毕业论文-pwm脉宽调制信号源_第1页
毕业论文-pwm脉宽调制信号源_第2页
毕业论文-pwm脉宽调制信号源_第3页
毕业论文-pwm脉宽调制信号源_第4页
毕业论文-pwm脉宽调制信号源_第5页
已阅读5页,还剩18页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、pwm脉宽调制信号源摘要基于计数器的工作原理,本文提出了一种具有复位功能的全数字脉宽调制器的实 现电路,并对电路的工作原理和工作过程进行了详细的分析,借助于maxplus ii设计平台,最后给出了实验波形和实验数据。实验结果验证了全数字脉宽调制 器原理的正确性和电路的实用性。关键词同步信号数字脉宽调制器(dpwm)digital pulse width modulator with resetabstractthe paper introduces a circuit scheme of digital high frequency pulse width modulator with res

2、et based on the princple of counters. the structure and operational principle of the circuit are analyzed in detail and the circuit is simulated by maxplus il the simulative and experimental results show that the circuit is accurate and practical.keywordssynchron signal digital pulse width modulator

3、目录弓 1"3cpld.4cpld 发展.41.2cpld的基本特点.4cpld的在系统技术*51.4cpld 应用-5pwm信号产生的方案和论证-6pwm信号的用途七pwm信号产生方案的论证-6设计概述63.1设计思路73.2信号的流程73.3算法和电路7pwm信仿真8pwm信号程序83. 6pwm信号放大电路144. 石英晶体振荡电路151正玄波振荡电路的频率稳定问题-154.2石英晶体的基本特性与等效电路154. 3石英晶体振荡器164.4时钟信号的产生165. 译码显示电路171数码显示器185. 2显示译码器186. 结束语19致谢现在电子产品正在以前所未有的革新速度,向着

4、功能多样化、体枳最小化、 功耗最低化的方向迅速发展。它与传统电子产品在设计上的显著区别之一就是大 量使用大规模可编程逻辑器件,一、提高产品性能、缩小产品体积、降低产品消 耗;区别之二就是广泛运用现代电子计算机技术,以提高电子设计自动化程度, 缩短开发周期,提高产品的竞争力。eda (electronic design automation,电子 设计自动化)技术正式为了适应现代电子产品设计的要求,吸收多学科最新成果 而形成的一门新技术。利用eda技术进行电子系统的设计,具有以下几个特点:用软件的方式 设计硬件;用软件方式设计的系统到硬件系统的转换是用有关的开发软件自动 完成的;设计过程中可以用

5、有关软件进行各种仿真;系统可现场编程,在线 升级;整个系统可集成在一个芯片上,体积小、功耗低、可靠性高。因此,eda 技术是现代电子设计的发展趋势,所以eda教学和产业界的技术推广是当今业 界的一个技术热点,eda技术是现代电子工业中不可缺少的一项技术。altear 公司是20世纪90年代以后发展很快的最大可编程逻辑器件供应商之一。业界公 认max+plus ii是最优秀的pld开发平台之一。本项目的编程就是使用了该软 件。“pwm脉宽调制信号发生器”,是以max+plusii 10.1软件为开发平台, 汇集了计算机与信息技术、系统技术、模拟电子技术、自动控制技术等领域的电 子作品。体现了电子

6、信息类工科设计开发、研究制作、理论联系实践的实用性和 创新性。特别是在eda软件开发、电子产品的线路设计制作等方面,掌握了基 本知识、设计思路和方法;并在设计过程中培养了一定的实际动手能力,积累了 实际设计经验和行政管理能力。就我个人而言,本次项目开发过程中获益非浅,尤其是在本人负责的pwm 信号的输出的算法实现的软件设计以及在基于cpdl大规模可编程逻辑器件的 使用、调试和编译等方面积累了很多的实践经验,取得了一定的成果。但是由于 设计时间短、涉及的范围广,这个项目在很多方面还不成熟,尤其是在机电控制 等方面还有很多需要改进的地方。实际上pwm脉宽调制信号源,由于可橾作性 强,在电子控制电路

7、中有相当大的应用空间,本文仅仅是对pwm信号源的部分功能进行了讨论和研究。其他的功能还有待后人继续对它进行发掘和研究。 本文结合本人的工作经验,从软件的设计思路,算法和调试方面对pwm脉宽调 制发生器的实现进行详细的论述。1.cpldcpld的发展复杂可编程逻辑器件(cpld一complex programmable logic devices)是随 着半导体工艺不断完善,拥护对期间集成度要求不断提高的形势下所发展起来的 产物。1985年,美国altera公司在eprom和gal期间的基础上,首先推出了 可擦除可编程逻辑期间,也就是epld(erasablepld),其基本结构与pal/gal

8、器 件相仿,但其集成度要比gal器件高得多。而后altera,atmel,xilinx等公司不断 推出新的epld产品,它们的工艺不尽相同,结构不断改进,形成了一个庞大的 群体。在前几年,一般把器件的可用门数超过500门的pld称为epld。近年来, 由于器件的密度越来越大,所以西多公司把原来称为epld的产品称为cpld。 现在一般把所有超过某一集成度的pld器件称为cpld。当前cpld的规模已从取代pal和gal的500门以下的芯片系列,发展到 5000门以上,先已有上百万门的cpld芯片系列。随着工艺水平的提高,在增 加期间容量的同时,为提高芯片的利用率和工作频率,cpld从内部结构上

9、作了 许多改进,出现了多种不同的形式,功能更加齐全,应用不断扩展。cpld的基本结构特点早期的cpld主要用来替代pal器件,所以其结构与pal,gal基本相同, 采用了可编程的与阵列和固定的或阵列结构。再加上一个全局共享的可编程与阵 列,把多个宏单元连接起来,并增加了 i/o控制模块的数量和功能。可以把cpld 的基本结构看成由逻辑阵列宏单元和i/o控制模块两部分组成。其与阵列比gal 大的多,但并非靠简单地增大阵列的输入,输出端口达到,这是因为阵列占用硅 片的面积随其输入端数的增加而集聚增加,而芯片面积的增大不仅使芯片的成本 增加。还因信号在阵列中传输延迟加大而影响其运行速度,所以在cpl

10、d中,通 常将整个逻辑分为几个区。每个区相当于一个大的gal或数个gal的组合,再 用总线实现各区之间的逻辑互连。cpld中普遍设有多个时钟输入端,并可以利 用芯片中产生的乘枳项作为时钟。有的cpld中还设有专门的控制电路,对时钟 进行管理。多时钟系统给系统的设计带来了很大的灵活性。cpld的在系统编程技术传统的cpld编程是在编程器上完成的,因为那时对cpld编程需要较高 的电压和较特殊的波形。由于工艺的改进,对cpld器件的编程可在其工作电压 下进行,因而可将cpld芯片安装在系统中,在其工作环境下,依靠编程软件完 成,这就是所谓在系统编程(in system program)。具有在系统

11、编程功能的cpld芯片的每个i/o端口(pin)都有一个三态门和 一个可配置的上拉电阻,正常工作时这些三态门处于选通状态,而上拉电阻根据 设计要求或者连在引脚上或者不连。芯片上还有数个专供在系统编程使用的引 脚,它们可通过编程电缆与计算机并口相连,正常工作时i叩en加高电平,编程 (通常称此过程为下载)时加低电平,此时所有i/opin内的三态门处于断开状态。 将芯片内部与周边电路的联系隔断,而每个1/0单元中的触发器被串联起来,成 了一个移位寄存器。以串入端为sdi,串出端为sdo,存于计算机中的编程信息 (jed文件)便在编程时钟sclk的作用下依次由sdi端移入芯片。当移至预定 地点时,被

12、写入该处,并将写入的结果从移位枳存器移至输出端sdo,送回计 算机检验。在系统编程技木的出现,改变cpld器件先下载后装配的程式,可以将器件 先装配在系统板或目标板上,然后将所设计的电路下载于其中。这样不仅避免了 因多次拔插而损坏芯片引脚,方便了调试,加快了生产的进度,而且可以在不改 变系统硬件结构的情况下,实现对体统的重构或升级。对试制新产品和学生实验 等需要经常更换芯片中的信息的场合,在系统编程最为适用,所以现在的cpld芯片几乎全部采用了在系统编程原理。cpld的应用采用altera公司的max+plus ii 10.1软件进行源程序的编写,它支持 的器件有:epf10k10, epf1

13、0k10a, epf10k20, epf10k30a 以及 max7000 系列(含 max7000a, max7000ae, max7000e, max7000s), epm9320, epm9320a, epf8452a, epf8282a, flex6000/a 系列,max5000 系列和 classic tm系列。本次设计使用的芯片为epm7128slc84-15,门数为10000个门。根据算法的规定,本次设计可以对pwm的占空比有15种的调制情况,从 小到大依次是 6.25%、12.5%、18.75%、25%、31.25%、37.5%、43.75%、50%、 56.25%、63.5

14、%、69.75%、75%、81.25%、87.5%、93.75%。从理论上讲电动机 的转速应该从停止算起有16种的速度可调;但是,由于占空比地于12.5%时放 大后的信号电压的有效值过小无法带动电机,所以我们实际上只能看到从占空比 大于12.5%以后的信号对电机的控制。通过设计得到的结论我们将设计中的信号 实际上取10种可调占空比的信号,从小到大依次是12.5%、50%、56.25%、63.5%、 69.75%、75%、81.25%、87.5%、93.75%。2. pwm信号产生的方案和论证2.1 pwm信号的用途pwm信号是一种频率固定不变的可调占空比的脉冲信号,它可以广泛用于 直流异步电机

15、的变频驱动,如变频电机的控制驱动,各类工业水泵、风机的变频 驱动,各类不间断电源(ups)以及其它一些需要pwm波形驱动的功率控制电 路中。由于它的工作频率宽,调节方便准确所以惯犯的运用与工业控制和电子自 动化方面,是一种有很大实际应用前景的数字信号发生器。2.2信号的产生方案及论证pwm信号的常见的方案有两种:方案一:在数字信号处理器(dsp)中应用过采样技术需要快速adc以非常快 的速度来采样模拟信号,并且需要快速dsp来执行数字低通滤波,以次来产生 pwm信号。方案二:基于cpld器件,通过eda技木可用vhdl语言编写pwm信号源由 加法计数器构成,它的输出信号可以由预置数进行控制。由

16、于eda技术产生的 信号产生的pwm信号源可调范围广,占用系统资源少,工作频率宽,又可以作 为一个单独的模块灵活的组成多相pwm调制信号源。还可以和其他功能模块集 成到一个芯片上去,实现所谓的片上系统;所以本次设计采用的是eda技术产 生的pwm信号源。3. 设计概述随着近几年半导体技术的迅速发展,数字控制技术已经广泛应用与电力电 子、自动控制等领域,作为数字控制技术中的一个重要环节,数字脉宽调制技木 也日趋成熟。目前,这些领域中大部分应用的是数字脉宽调制器与处理器为遗体的专用芯片,比如ti公司的tms320c24x系列芯片,adi公司的admc系 列芯片等。然而,这些芯片中的pwm实际是由d

17、sp的定时器来控制,在对 定时器资源使用要求严格的系统中,这也占用了一部分重要的资源。在这些情况 下,应用片外pwm电路无疑是一种理想的选择。本文提出的pwm电路基于计 数器的原理,结构简单、控制精度高,作为一个独立的功能模块,他还可以灵活 的组成多相pwm调制电路,应用与相关领域。而且还可以把pwm与其他功能 模块作为一个系统集成到一个;s片上去,实现所谓的片上系统(system on a chip)。3. 1设计思路pwm信号实际上就一个频率不变的可调占空比的脉冲信号。首先,需要输 入一个时钟脉冲信号,然后通过一个计数器将时钟信号分频;通过控制计数的周 期和计数器的输出0与1的变化,从而得

18、到一个稳定脉冲信号;而占空比的改变 以外接的数字开关给予计数器赋的初值来决定计数周期内输出1的个数,达到控 制占空比的目的。3. 2系统的信号流程由下面的框图来表示:钟号生路计数器号信mwp计数控制译码显示电路3. 3算法和电路pwm的信号产生是由一个计数器来控制的,计数器是一个十六进制的,由 时钟频率的上升沿触发。计数器开始计数时输出高电平1,直到计数值与计数控 制值相同时,输出低电平0到第二个计数周期重复以上的动作。实际上相当于对 时钟信号进行了 16分频,所以pwm信号的为fc/16,同时占空比为:控制数/16*100%o3.4 pwm信号的仿真对于在max+plus 11中编好的pwm

19、信号发生的模块,可以进行信号的仿 真,仿真的过程如下图所示:i .输入波形为下start: 0.0nsj 00 end: |3.0usinterval: 3.0usd37 01lname:value: i 200.0ns 400.0ns 600.0ns 800.0ns 1.0us1.2us1.4us1.6us1.8us2.0us2.2us2.4us2.6ud2k-d1doelkpwn1biibbill0001xmii.仿真后的图形为下start: 0.0nsdend: |3.0usinterval: |3.0usname:value: i 200.0ns 400 0ns 600.0ns 800

20、.0ns 1.0us1.2us1 4us 1.6us1.8us2.0us2.2us2.d2d1doelkpwn100011»mm通过仿真我们可以看到当d0d1d2d3所表示的bcd码逐渐变大时候,pwn1的 占空比也逐渐变大。已经达到了设计的目的。3.5 pwm信号产生程序对于常用的eda编程经典的做法如下图所示:1 rww这种顶层设计的做法中使用了 4个d触发器和一个编好的模块虽然也可以 实现功能但是由于使用的是现成的模块占用的资源较多;对于2500个门的7128芯片来说无疑是一种浪费的做法。本次设计采用的低层设计的方法。以下为 v h d l语言编写的p w m信号产生的源程序:

21、 library ieee;use ieee.std_logic_l 164.all;use ieee.stdogic_arith.all;use ieee.std_logic_unsigned.all;entity pwml is port(elk : in stdjogic; pwnl : out stdjogic; d: in std_logic_vector(3 downto 0);end entity pwml;architecture art of pwml issignal count: std_logic_vector(3 downto 0); begin process(cl

22、k)beginif elkevent and elk-t thenif count=" 1111” thencount<=h0000h;elsecount<=count+l; end if;elsenull; end if;case d is when ”1111 ”=pwn 1 <=o; when h0000h=>u.=vcmd<=:on?sx;& u-=>cmd<=ioio: gq 彡 u-=>amd<=ooio= sqm u,=>cmd<=noo=广 l=>l,d<=oloo= s4 彡 广一

23、-hv | umd<h= 1000= §m多u-=>iumd<=0000= sqm si luns 3s3<=1000=oss p§广p=> lcmd<=£oqjo uoqm u.=>iumd<=000= sqm u.=>cmd<=0000= sqm sllcnsosssucns 3s3<=1100= uijss psto.=>jumd<=sj3lpo uoqm l=>lcmd<=uo= §qm i,=>lumd<=ollo= §q 多 l=&

24、gt;lumda=joio= §qm l=>lumd<=olou i,=>cmd<=noo= sqm l=>iumd<=oloo=§qm l.=>lcmd<=.j00?gq& l.=vl,d<=0000= sm 彡slcn3<uss<=0100= cfafss psp=>lcmd<=sj3lpo u3ljmwhen noooon=pwnl<='r; when "0001 ,=>pwn 1 <=' 1 *; when n0010*->pwnl

25、<='l,; when ”0011 ”=pwn 1 <=t; when n0100,=>pwnl<=l,; when n0101n=pwnl<='r; when ”0110=pwnl<=l; when ,'0111,'=>pwnl<=,l,; when " 1000n=pwn 1 <= 1 when others=pwnl<='0; end case;whenn0100h=>case count iswhen ”0000n=pwnl<=l; when n0001,=>

26、pwnl<=l,; when n0010n=pwnl<='r; when "0011 n=>pwn 1 <=' 1 *; when n0100*->pwnl<='l,; when ”0101 ”=pwn 1 <= 1 when n0110n=pwnl<=t; when lllpwnkr; when n1000=pwnl<='r; when n1001n=pwnl<=rl; when others=pwnl<=0' end case;when n0101h=>case cou

27、nt iswhen "0000*->pwnl<='l,; when ”0001 n=pwn 1 <= 1 when "oolopwnkl1;when oll'pwnkr; when ”oloo=pwnl<='l,; when ,0101,=>pwnl<=,l,; when ”011 on=pwn 1 <=t; when "0111 n=pwn 1 <=t; when n1000n=pwnl<='r; when *'1001 '*=>pwn 1 <=&#

28、39; t; when n1010n=pwnl<=rl; when others=pwnl<=0' end case;when n0110n=>case count iswhen "0000*->pwnl<='l,; when ”0001 n=pwn 1 <= 1 when "oolopwnkl1; when oll'pwnkr; when ”0100=pwnl<='r; when ,0101,=>pwnl<=,l,; when ”011 on=pwn 1 <=t; when &qu

29、ot;0111 n=pwn 1 <=t; when n1000n=pwnl<='r; when *'1001 '*=>pwn 1 <=' t; when n1010n=pwnl<=rl; when ”1011 ”=pwn 1 <=t; when others=pwnl<='0; end case;when ”0111=case count iswhen ”0000n=pwnl<=l; when n0001,=>pwnl<=l,;when "0010=pwnl<='l wh

30、en "0011n=>pwnl<=,l when ,'0100,=>pwnl<='l when ”0101 n=pwn 1 <= 1 when "ollopwnkl when ''olll'=pwnl<=l when ”1000=pwnl<='l when n100r'=>pwnl<='l when "101 on=pwn 1 <= 1 when "1011 "=>pwnl<-1 when h1100"

31、;=>pwnl<='l when others=pwnl<=0' end case;when ”1000”=case count iswhen "0000=pwnl<='l when "0001 ,=>pwn 1 <=' 1 when "0010,=>pwnl<='l when ”0011 "=pwn 1 <= 1 when "0100"=>pwnl<='l when ''0101=pwnl<=

32、9;l when "0110,=>pwnl<=,l when ,'0111,'=>pwnl<=,l when "1000"=>pwnl<=,l when "1001 n=pwn 1 <=' 1 when ”1010=pwnl<='l when n1011n=>pwnl<=,l when n1100"=>pwnl<-1 when ”1101 "=pwn 1 <=' 1 when others=pwn 1 <=

33、9;0'end case;when ”1001n=case count iswhen ”0000n=pwnl<=l when ”0001”=pwnl<='l when "0010=pwnl<='l when "0011n=>pwnl<=,l when n0100n=>pwnl<='l when ”0101 n=pwn 1 <= 1 when n0110n=pwnl<l when ''0111'=pwnl<=l when ”1000=pwnl<='

34、l when ,100r,=>pwnl<=' l when "1010n=pwn 1 <= 1 when "1011 u=>pwnl<-1 when h1100"=>pwnl<='l when ”110r'=pwnl<='l when "1110"=pwn 1 <= 1 when others=pwnl<=0; end case;when others=> pwnl<=0;end case;end process; end architect

35、ure;3.6 pwm信号放大电路s85504. 石英晶体振荡电路4.1正玄波振荡电路的频率稳定问题在工程应用中,例如在实验用的低频及高频信号产生电路中,往往要求正玄波 振荡电路的振荡频率有一定的稳定度,有时要求振荡频率十分稳定,如通讯系统 中的射频振荡电路,数字系统的时钟产生电路等.因此,有必要引用频率稳定度来 作为衡量震荡电路的质量指标之一.频率稳定度一般用频率的相对变换量af/fo 来表示,fo为振荡频率,af为频率偏移.频率稳定度有时附加时间条件,如一小时 或一日内的频率相对变化量.影响lc振荡电路振荡频率的因素主要是lc并联谐振回路的參数l,c和 r.lc谐振回路的q值对频率稳定也有

36、较大影响,可以证明,q值愈大,频率稳定 度愈高.为了提高q值,应尽量减小回路的损耗电阻r并加大l/c值.但一般的 lc振荡电路,其q值只可达数百,在要求频率稳定度高的场合,往往采用石英晶 体振荡电路.石英晶体振荡电路,就是用石英晶体取代lc振荡电路中的l,c元件所组成的 正玄波振荡电路.石英晶体振荡电路之所以具有极高的频率稳定度,主要是由于 采用了具有极高q值的石英晶体元件.下面首先了解石英晶体的构造和它的基本 特性,然后再分析。4.2石英晶体的基本特性与等效电路石英晶体是一种各向异性的结晶体,它是硅石的一种,其化学成分是二氧化 硅.从一块晶体上按一定的方位角切下的薄片称为晶体,然后在晶体的两

37、各对应 表面上涂抹银层并装上一对金属板,就构成石英晶体产品.(a)(c)(b)(a)为石英晶体的代表符号(b)等效电路(c)电抗-频率响应特性由等效电路可知,石英晶体有两个谐振频率,即3.4 当r,l,c支路发生串联谐振时,由于c。很小,它的容抗性比r大得多,因此, 串联谐振的等效阻抗近似为r,呈纯阻性,且起阻值很小.3.5 当频率高于fs时,r,l,c支路呈感性,当与c。发生谐振时,由于c«c。,因此fs 与fp很接近.3石英晶体振荡器石英晶体振荡器电路的形式是多种多样的,但其基本电路只有两类,即并联晶 体振荡器和串联晶体振荡器,前者石英晶体是以并联谐振的形式出现,而后者则 是以串

38、联谐振的形式出现。并联晶体振荡器4.4时钟信号的产生时钟信号是由石英晶体晶振产生的。晶振利用的是晶片的“压电效应”原理 工作的。当机械力作用于晶片时,晶片两边都将产生电荷,反之当在镜片两面加 上不同极性电压时,晶片的几何尺寸将压缩或伸张,这种现象便是压电效应。如 果在晶片上加上交变电压,则晶片将随交变信号的变化而机械振动。当交变电压 频率与晶片的固有频率相同时,机械振动最强,电路里的电流也就最大,从而在 电路里产生了谐振。译码显示电路控制数的显示电路由一个74ls248和一个共阴极数码管组成。74ls248是 bcd码到七段码的译码器,它可以直接驱动共阴极数码管。译码显示电路如下 图所示:在数字电路中,常用的显示器是数码显示器。hs-5101as4就是一种共阴极数码显示器。它的管脚排列如图3. 1.2所示,ddpe d x c dpx为共阴极,dp为小数点。其内部是 八段发光二极管的负极连在一起的电 路。当它的a、b、cg、f、dp加上 正向电压时,各段发光二极管就点亮。 例如当b、c段为高电平,其它各段为低电平时就显示数码

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论