多路彩灯控制器的设计_第1页
多路彩灯控制器的设计_第2页
多路彩灯控制器的设计_第3页
多路彩灯控制器的设计_第4页
多路彩灯控制器的设计_第5页
已阅读5页,还剩9页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、多路彩灯控制器的设计通信 班 设计意义 多路彩灯控制器通过对应的开关按钮,能够控制多个彩灯的输出状态,组合各种变换的灯光闪烁,能够便捷的得到想要的效果。 设计要求设计要求v设计一个多路彩灯控制器,能循环变幻花型,可清零,可选择花型变化节奏。v彩灯控制器由16路发光二极管组成,当开关打开时,能够在6种不同的彩灯花型之间循环变化。v要求具有复位信号,复位信号有效时,无条件清零,恢复到初始状态。v设置节拍按钮,按下按钮,选择慢节奏,松开按钮,选择快节奏。设计方案 由系统设计要求可知,整个系统共有3个输入信号,分别为快慢节奏控制信号opt、复位清零信号clr、时钟脉冲信号clk,输出信号则是16路彩灯

2、的输出状态led【15.0】,系统框图如图1.1所示,电路图如图1.2所示。 图1.1 多路彩灯控制器系统框图 clkclroptclkoutmetronomeinstclkclrled15.0outputinst1VCCclrINPUTVCCoptINPUTVCCclkINPUTled15.0OUTPUT图1.1 多路彩灯控制器系统框图多路彩灯控制器由如下两个主要的电路模块组成:时序控制电路显示控制电路时序控制电路时序控制电路 根据输入设置得到相应的输出信号,并将此信号作为显示控制电路的时钟根据输入设置得到相应的输出信号,并将此信号作为显示控制电路的时钟信号。信号。clk为输入信号,上升沿有

3、效;为输入信号,上升沿有效;clr为清零信号,高电平有效;为清零信号,高电平有效;opt为快慢为快慢节奏控制信号,低电平时节奏快,高电平时节奏慢;节奏控制信号,低电平时节奏快,高电平时节奏慢;clkout 为输出信号,当为输出信号,当clr有效时,输出为有效时,输出为0,否则,否则,clkout的周期将随的周期将随opt信号的改变而改变。时序电路信号的改变而改变。时序电路控制框图如图控制框图如图1.3所示所示 metronomeinstclkclkclrclroptoptclkoutclkout 图1.2 时序控制电路框图 显示控制电路显示控制电路 根据输入时钟信号的周期,有规律的输出预先设定

4、的六种彩灯花型,从而使得多路彩灯控制器显示控制电路框图如图1.3所示,多路彩灯状态转换图如图1.4所示。 outputinstclkclkclrclrled15.0led15.0图1.3 显示器控制电路框图图1.5 多路彩灯状态转换图顶层模块时序控制电路程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity metronome is 定义实体名为 metronome port( clk:in std_logic; 时钟信号 clr:in std_logic; 复位信号 opt:i

5、n std_logic; clkout:out std_logic); end metronome; rchitecture rtl of metronome is 定义结构体 signal clk_tmp:std_logic; signal counter:std_logic_vector(1 DOWNTO 0); begin process(clk,clr,opt) 当敏感信号发生变化时,启动进程 begin if clr=1 then 清零 clk_tmp=0; counter=00; elsif clkevent and clk=1 then if opt=0 then 4分频,快节奏

6、 if counter=01 then counter=00; clk_tmp=NOT clk_tmp; else 8分频,慢节奏 counter=counter+1; end if; else if counter=11 then counter=00; clk_tmp=NOT clk_tmp; else counter=counter+1; end if; end if; end if; end process; clkout=clk_tmp; 输出分频后的时钟信号 end rtl; 时序控制电路仿真波形 从图中可得,当清零信号为高电平时,输出为0 在复位信号为低电平时,当快慢节奏控制信号

7、opt为高电平时,输出 为慢节奏;当快慢节奏控制信号opt为低电平时,输出为快节奏。 return显示控制电路程序l library ieee;use ieee.std_logic_1164.all;entity output is port( clk:in std_logic; clr:in std_logic; led:out std_logic_vector(15 DOWNTO 0);end output;architecture rtl of output istype states is (s0,s1,s2,s3,s4,s5,s6); 定义新的类型signal state:state

8、s;begin process(clk,clr) begin if clr=1 then state=s0; led state=s1; led state=s2; led state=s3; led state=s4; led state=s5; led state=s6; led state=s1; led=0001000100010001; end case; end if; end process; end rtl; return 顶层模块 library ieee; use ieee.std_logic_1164.all; entity colorled is port( clk:i

9、n std_logic; clr:in std_logic; opt:in std_logic; led:out std_logic_vector(15 DOWNTO 0); end colorled; architecture rtl of colorled is component metronome is port( clk:in std_logic; clr:in std_logic; opt:in std_logic; clkout:out std_logic); end component metronome; component output is port( clk:in st

10、d_logic; clr:in std_logic; led:out std_logic_vector(15 DOWNTO 0); end component output; signal clk_tmp:std_logic; begin U1:metronome PORT MAP(clk,clr,opt,clk_tmp); U2:output PORT MAP(clk_tmp,clr,led); END rtl;个人心得 在这次设计中在我收获了很多,首先最直接的收获就是我们巩固了这门课程所学过的知识把它运用到了实际中,并且学到了很多在书本上没有的东西。通过查阅相关资料进一步加深了对EDA的了解。最终在不懈的努力和肖冬瑞老师的指导下我圆满地完成了多路彩灯控制器的设计,实现了设计目的。参考文献参考文献 【1 1】陈炳权,EDA技术及实例开发教程,湘潭,湘潭大学出版社,2

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论