EDA时钟设计 报告_第1页
EDA时钟设计 报告_第2页
EDA时钟设计 报告_第3页
EDA时钟设计 报告_第4页
EDA时钟设计 报告_第5页
已阅读5页,还剩11页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、EDA数字电路课程设计题目:数字时钟设计 专业: 电子信息科学与技术 班级: 信息电科1202B 姓名: # # 第一部分:设计说明1设计任务设计一款数字电子时钟,具体要求如下:1:输入条件:1KHZ输入时钟,2个输入按键;2:功能实现:具有时、分、秒进位功能;具有闹钟与校时功能,校时要求精确到秒,采用按键作为输入信号。3:采用altera公司的quartusII软件进行编程与仿真,设计语言可以选择VHDL或者使用原理图输入。2目的与意义训练综合运用学过的数字电子技术、数字系统设计技术和计算机编程及电路相关基本知识,培养独立设计比较复杂数字系统设计能力。通过综合设计,力争掌握使用ED

2、A工具设计数字系统电路的基本方法,包括原理方案的确定、详细设计中的编程与仿真等一系列过程,为以后进行工程实践问题的研究打下设计基础。时钟,自从它发明的那天起,就成为人类的朋友,但随着社会的进步,科技的的发展,人们对它的功能又提出了新的要求,怎样让时钟更好的为人民服务,怎样让我们的老朋友焕发青春光彩呢?这就要求人们不断设计出新型时钟。现代社会,守时已不仅关系到一个人的职业生涯,还成了衡量一个人道德的标准。时钟为人们提供了科学利用时间规律的依据,然而,普通的机械钟表与半机械钟表对于忙碌的生活显然早已不太适应,设计一款高精度数字时钟势在必行。本课题将通过对目前市场上的数字电子钟的研究,利用EDA技术

3、设计一款高精度数字式电子钟,使人们可以得到精确时间显示,帮助人们合理安排时间,方便人们的生活第二部分 原理方案设计1总体方案要实现一个数字时钟小系统,整个系统由主要模块电路模块和外部输入输出以及显示模块组成。首先分别实现单个模块的功能,然后再通过级联组合的方式实现对整个系统的设计。其中,主要模块有四个。它包括1HZ时钟信号产生模块、时间计数模块(计数模块又分为分计数模块、秒计数模块、时计数模块)、闹铃模块、控制模块。各个模块先用EDA技术中的VHDL语言编程仿真,再生成各个小模块的模拟元件,再元件例化,根据设计连接电路实现数字电子钟小系统。2各部分方案1:通过分频,产生1HZ的计时时钟信号和1

4、00HZ的校时时钟信号。2:分别设计秒计时,分计时,时计时,秒计时用上面的时钟信号1HZ产生,分计时也是60一清零,分计时的时钟用的是秒计时的进位信号,时计时用的是24一清零,CLK是分的进位。3:闹铃模块是设计一个比较电路,当时间到达时,输出高电平。4:控制模块是通过一个四选一选择器来选择相应频率和输入的信号来实现校时和正常计时的切换。3整体设计框图:第三部分 详细设计过程1分频器模块1:模块说明:输入一个频率为1KHz的CLK,利用计数器分出1HZ和100HZ的信号。2:VHDL源程序:LIBRARY ieee; -10分频和1000分频VHDL描述USE ieee.std_logic_1

5、164.all; use ieee.std_logic_unsigned.all;ENTITY fdiv IS PORT (CLK: IN STD_LOGIC ; -输入时钟信号 f100Hz: BUFFER STD_LOGIC; f1Hz: OUT STD_LOGIC);END fdiv ; ARCHITECTURE bhv OF fdiv IS BEGIN d100HZ:PROCESS(CLK)VARIABLE cout:INTEGER:=0;BEGIN IF CLK'EVENT AND CLK='1' THEN cout:=cout+1; -每来个时钟上升沿时co

6、ut开始计数IF cout<=5 THEN f100Hz<='0' -当cout<=5时,f100Hz输出"0" ELSIF cout<10 THEN f100Hz<='1' -当5<=cout<=10时,f100Hz ELSE cout:=0; -输出"1",完成100Hz频率输出END IF; END IF; END PROCESS; d1HZ:PROCESS(CLK)VARIABLE cout:INTEGER:=0;BEGIN IF CLK'EVENT AND CLK

7、='1' THEN cout:=cout+1; -每来个时钟上升沿时cout开始计数IF cout<=500 THEN f1Hz<='0' -当cout<=5000时,f1Hz输出"0" ELSIF cout<1000 THEN f1Hz<='1' -当5000<cout<=1000时,f1Hz ELSE cout:=0; -输出"1",完成1Hz频率输出END IF; END IF; END PROCESS;END bhv;2秒计时模块1:模块说明:通过分频获得的

8、时钟信号,便是1s,秒的低位到达9时向高位进1,高位到达6时向上进1,并清零,重新开始。2:VHDL源程序 library ieee; -秒计数VHDL描述use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity second is port(s_en,clk,reset:in std_logic; sec1,sec2:out std_logic_vector(3 downto 0); carry:out std_logic);end second;architecture rt1 of second is signa

9、l sec1_t,sec2_t:std_logic_vector(3 downto 0);begin process(clk,reset) begin if s_en='1' then if reset='1' then sec1_t<="0000" sec2_t<="0000" elsif clk'event and clk='1'then if sec1_t="1001"then sec1_t<="0000" if sec2_t=&quo

10、t;0101"then sec2_t<="0000" else sec2_t<=sec2_t+1; end if; else sec1_t<=sec1_t+1; end if; if sec1_t="1001" and sec2_t="0101"then carry<='1' else carry<='0' end if; end if; end if; end process; sec1<=sec1_t; sec2<=sec2_t;end rt1;3

11、分计时模块1:模块说明:这里用的时钟信号的来自秒的进位,即进一位就是1min,分的低位到达9是向高位进1并清零,高位到达6时向上进1,到达5时等待进位后清零。.2:VHDL源程序library ieee; -分计数VHDL描述use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity minute is port(m_en,clk,reset:in std_logic; min1,min2:out std_logic_vector(3 downto 0); carry:out std_logic);end minute;

12、architecture rt1 of minute is signal min1_t,min2_t:std_logic_vector(3 downto 0);begin process(clk,reset) begin if m_en='1' then if reset='1'then min1_t<="0000" min2_t<="0000" elsif clk'event and clk='1'then if min1_t="1001"then min1_t&l

13、t;="0000" if min2_t="0101"then min2_t<="0000" else min2_t<=min2_t+1; end if; else min1_t<=min1_t+1; end if; if min1_t="1001" and min2_t="0101"then carry<='1' else carry<='0' end if; end if; end if; end process; min1<

14、=min1_t; min2<=min2_t;end rt1;4时计时模块1:模块说明:这里的时钟信号时来自上面的分的进位,上面进一位便表示1h,时的低位到达9是向高位进1并清零,高位到达2等待进位后清零,这里当高位到达2时,低位为3即将到4时开始进位。2:VHDL源程序library ieee; -时计数VHDL描述use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity hour is port (h_en,clk,reset:in std_logic; hour1,hour2:out std_logic_ve

15、ctor(3 downto 0); end hour;architecture rt1 of hour is signal hour1_t,hour2_t:std_logic_vector(3 downto 0);beginprocess(clk,reset)begin if h_en='1' then if reset='1'then hour1_t<="0000" hour2_t<="0000" elsif clk'event and clk='1'then if hour1_t=&

16、quot;0011" and hour2_t="0010"then hour1_t<="0000" hour2_t<="0000" else if hour1_t="1001"then hour1_t<="0000" if hour2_t="0010"then hour2_t<="0000" else hour2_t<=hour2_t+1; end if; else hour1_t<=hour1_t+1; en

17、d if; end if; end if;end if;end process; hour1<=hour1_t; hour2<=hour2_t;end rt1;5闹铃模块1:模块说明:程序中的语句 if m1="0101" and m0="1001" and s1="0101" then if s0>"0001" and s0<"1001" 即是实现闹铃功能的程序,改变数值即可改变闹铃时间。 2:源程序library ieee; -闹钟报时VHDL描述use ieee.s

18、td_logic_1164.all;entity alarm isport(m1,m0,s1,s0:in std_logic_vector(3 downto 0); clk:in std_logic; alarm_clock:out std_logic);end alarm;architecture bhv of alarm is begin process(clk) begin if clk'event and clk='1' then if m1="0101" and m0="1001" and s1="0101&q

19、uot; then if s0>"0001" and s0<"1001" then alarm_clock<='1' else alarm_clock<='0' end if; end if;end if;end process;end bhv;library ieee; -时计数VHDL描述use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity hour is port (h_en,clk,reset:in std_lo

20、gic; hour1,hour2:out std_logic_vector(3 downto 0); end hour;architecture rt1 of hour is signal hour1_t,hour2_t:std_logic_vector(3 downto 0);beginprocess(clk,reset)begin if h_en='1' then if reset='1'then hour1_t<="0000" hour2_t<="0000" elsif clk'event and

21、 clk='1'then if hour1_t="0011" and hour2_t="0010"then hour1_t<="0000" hour2_t<="0000" else if hour1_t="1001"then hour1_t<="0000" if hour2_t="0010"then hour2_t<="0000" else hour2_t<=hour2_t+1; end if; else hour1_t<=hour1_t+1; end if; end if; end if;end if;end process; hour1<=hour1_t;hour2<=hour2_t6.模式选择模块原理图7.控制模块原理图8.总电路原理图第四部分 功能仿真1分频2秒计时 3分计时4时计时5.总

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论