电子密码锁 eda 课程设计_第1页
电子密码锁 eda 课程设计_第2页
电子密码锁 eda 课程设计_第3页
电子密码锁 eda 课程设计_第4页
电子密码锁 eda 课程设计_第5页
已阅读5页,还剩11页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆

2、蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀

3、螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄

4、薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿

5、袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃

6、虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇

7、薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节

8、螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈

9、薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃

10、袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇

11、虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁

12、蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆

13、螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀

14、薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇

15、衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁

16、蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅

17、蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀

18、螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄

19、薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈

20、螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅

21、蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿

22、蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄

23、螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈

24、薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂

25、螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆

26、蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁

27、袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈

28、蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂

29、薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆

30、螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀

31、蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅

32、袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇衿螀聿蒀螅蝿膁蚅蚁蝿芄蒈薇螈莆芁袆螇肆蒆螂袆膈艿蚈袅芀蒄薄袄羀芇薀袃膂薃袈袃芅莆螄袂莇薁蚀袁肇莄薆袀腿蕿蒂罿芁莂螁羈羁薈蚇羇肃莀蚃羇芆蚆蕿羆莈葿袇羅肇节螃羄膀蒇虿羃节芀薅肂羂蒅蒁肁肄芈螀肁膆蒄螆肀荿芆蚂聿肈薂薈肈膁莅袇肇芃薀螃肆莅莃虿膅肅薈薄螂膇莁蒀螁芀薇 东 北 石 油 大 学课 程 设 计课 程 e

33、da技术课程设计 题 目 电子密码锁 院 系 电子科学学院 专业班级 电子信息工程 学生姓名 学生学号 指导教师 2011年 3 月11日东北石油大学课程设计任务书课程 eda技术课程设计题目 电子密码锁专业 电子信息工程 姓名 学号 主要内容、基本要求、主要参考资料等主要内容:设计一个密码锁的控制电路,第一个按钮触动后的5秒内若未将锁打开,则电路自动复位并进入自锁状态,当输入正确代码时,输出开锁信号以推动执行机构工作。基本要求:1、设计一个密码锁的控制电路,当输入正确代码时,输出开锁信号以推动执行机构工作,用红灯亮、绿灯熄灭表示关锁,用绿灯亮、红灯熄灭表示开锁;2、在锁的控制电路中储存一个可

34、以修改的4位代码,当开锁按钮开关(设置成8位,其中实际有效为4位,其余为虚设)的输入代码等于储存代码时,开锁;3、从第一个按钮触动后的5秒内若未将锁打开,则电路自动复位并进入自锁状态,使之无法再打开,并由扬声器发出持续20秒的报警信号,并输出一个信号推动led不断闪烁。主要参考资料:1 潘松著.eda技术实用教程(第二版). 北京:科学出版社,2005.2 康华光主编.电子技术基础 模拟部分. 北京:高教出版社,2006.3 阎石主编.数字电子技术基础. 北京:高教出版社,2003.完成期限 2010.3.11 指导教师 专业负责人 2010年 3月7日一、 总体设计思想: 本课程设计主要是基

35、于vhdl文本输入法设计电子密码锁,随着社会物质财富的日益增长,安全防盗已成为全社会关注的问题。基于eda技术设计的电子密码锁,以其价格便宜、安全可靠、使用方便,受到了人们的普遍关注。而以现场可编程逻辑器件(fpga)为设计载体,以硬件描述语言(vhde)为主要表达方式,以quartus开发软件和gw48eda开发系统为设计工具设计的电子密码锁,由于其能够实现数码输入、数码清除、密码解除、密码更改、密码上锁和密码解除等功能,因此,能够满足社会对安全防盗的要求。1.系统设计实现的基本功能(1) 密码输入:每按下一个数字键,就输入一个数值,并在显示器上显示出该数值。同时将先前输入的数据依次左移一个

36、数字位置。(2) 密码清除:按下清除键可清除前面所有的输入值,清除成为“0000”。(3) 密码更改:按下更改键可将目前数据设定为新的密码。(4) 密码上锁:按下上锁键可将密码锁上锁。(5) 密码解除:按下解除键首先检查输入的密码是否正确,密码正确即解锁。2. 电子密码锁的结构原理根据系统设计要求,系统设计采用自顶向下的设计方法。2.1 电子密码锁的整体结构(1)密码锁输入模块密码锁的输入模块由时序产生电路、键盘扫描电路、键盘译码电路和按键存储电路组成。如下图示:时序产生电路键盘扫描电路按键数据缓存器键盘弹跳消除电路键盘译码电路图1a 时序产生电路产生电路中使用的三种不同频率的工作脉冲波形,即

37、系统时钟脉冲、弹跳消除取样信号和键盘扫描信号。b 键盘扫描电路扫描电路的作用是提供键盘扫描信号,扫描信号变化顺序依次是11101101101101111110.-依序地周而复始。c键盘译码电路上述键盘中的按键分为数字按键和文字按键,每一个按键可能负责不同的功能,例如清除键、上锁键和解锁键等。数字按键主要是用来输入数字的,但是键盘所产生的输出是无法直接拿来用作密码锁控制电路的输入的;另外,不同的按键具有不同的功能,所以必须由键盘译码电路来规划每个按键的输出形式,以便执行相应的动作。d 按键存储电路因为每一次扫描会产生新的按键数据,可能会覆盖前面的数据,所以需要一个按键存储电路。将整个键盘扫描完毕

38、后的结果记录下来。按键位置与数码关系(表)图2扫描位置csr【10】000000010101101010111111键盘输出信号sel【30】001101010110001101010110001101010110001101010110按键号123456789*0#图3所示是密码锁输入模块的仿真波形二、设计步骤和调试过程密码锁的控制电路是整个电路的控制中心,主要完成对数字按键输入和功能按键输入的响应控制。a数字按键输入的响应控制如果按下数字键,第一个数字会从显示器的最右端开始显示,此后每新按下一个数字时,显示器上的数字必须左移一位,一边将新的数字显示出来。假如要更改输入的数字,可以按倒退按键

39、来清除前一个输入的数字,或者按清除键清除所有输入的数字,再重新输入四位数。由于这里设计的是一个四位的电子密码锁,所以当输入的数字键超过四个时,电路不予理会,而且不再显示第四个以后的数字。b功能按键输入响应控制清除键:清除所有的输入数字,即做归零动作。上锁键:按下此键时可将密码锁的门上锁(上锁前必须先设定一个四位的电子密码)。解除键:按下此建辉检查输入的密码是否正确,若密码正确无误则解锁。图4所示是密码锁控制模块的仿真波形(3)密码锁译码模块:本电子密码锁的显示模块比较简单,其作用是将控制模块的bcd码输出转换为7段显示编码,然后驱动数码管,其仿真波形如图5所示。电子密码锁的整合和验证要完成电子

40、密码锁的设计,还必须将上述三个功能模块进行整合。三、结论及心得体会此次的设计是参考了eda技术实验与课程设计里的程序,不过由于程序里面出现了不少的语法错误,使得在编译时出现了20多个错误,不过在看过书后,细心地检查过程序后方能纠正过来。在纠正的过程中获益良多。 在eda软件平台上,用硬件描述语言vhdl完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。感觉eda还是很有研究价值的,能大大的减少设计者的工作量。从编写程序到完成此次课程设计,亲自操作软件起来从生硬到熟练,现在能较娴熟的运用quartus

41、。附:vhdl源程序密码锁输入模块library ieee ; use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity sr is port(clk_1k: in std_logic; key_in: in std_logic_vector(2 downto 0); data_n: out std_logic_vector(3 downto 0); data_f: out std_logic_vector(3 downto 0); flag_n: out st

42、d_logic; flag_f: out std_logic; cqd: out std_logic; ksel: out std_logic_vector(3 downto 0); csr: out std_logic_vector(1 downto 0); end sr;architecture one of sr is signal c_qd: std_logic; signal c_sr: std_logic_vector(1 downto 0); signal n,f: std_logic_vector(3 downto 0); signal fn,ff: std_logic; si

43、gnal sel: std_logic_vector(3 downto 0); signal q: std_logic_vector(5 downto 0); signal c: std_logic_vector(2 downto 0); begin data_n=n; data_f=f; flag_n=fn; flag_f=ff; cqd=c_qd; csr=c_sr; ksel=sel; c(0)=key_in(0); c(1)=key_in(1); c(2)=key_in(2); counter: block is begin process(clk_1k)is begin if(clk

44、_1kevent and clk_1k=1)then q=q+1; end if; c_qd=q(3); c_sr=q(5 downto 4); end process; sel=1110 when c_sr=0 else 1101 when c_sr=1 else 1011 when c_sr=2 else 0111 when c_sr=3 else 1111; end block counter; key_decoder: block signal z: std_logic_vector(4 downto 0); begin process(c_qd) begin znnnnnnnnnnn

45、fff=1000; end case; end if; end process; fn=not(n(3)and n(2)and n(1)and n(0); ff=f(2) or f(0); end block key_decoder; end architecture one;密码锁控制模块library ieee ; use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity ctrl isport (data_n: in std_logic_vector(3

46、downto 0); data_f: in std_logic_vector(3 downto 0); flag_n: in std_logic; flag_f: in std_logic; mimain: buffer std_logic; setin: buffer std_logic; old: buffer std_logic; cqd: in std_logic; enlock: out std_logic; data_bcd: out std_logic_vector(15 downto 0); end entity ctrl; architecture one of ctrl i

47、s signal acc,reg: std_logic_vector(15 downto 0); begin process(cqd,flag_f) is begin if cqdevent and cqd=0 then if flag_f=1 then if(data_f=0100) then acc=1111111111111111; mimain=0; setin=0; oldenlockmimain=1; accsetin=1; acc=1111111111111111; oldnull; end case; elsif(mimain=1) then if acc=reg then e

48、nlock=0; mimain=0; else mimain=0; end if; elsif(setin=1) then if(old=1) then if(acc=reg) then old=0; else setin=0; old=0; end if; else if(acc1001100110011001) then reg=acc; setin=0; end if; end if; end if; end if; elsif flag_n=1 then acc=acc(11 downto 0)&data_n; end if; end if; end process; data_bcd

49、dout7dout7dout7dout7dout7dout7dout7dout7dout7dout7dout7=0000000; end case; end process;end architecture one;主要参考资料:1 潘松著.eda技术实用教程(第二版). 北京:科学出版社,2005.2 康华光主编.电子技术基础 模拟部分. 北京:高教出版社,2006.3 阎石主编.数字电子技术基础. 北京:高教出版社,2003.东北石油大学课程设计成绩评价表课程名称eda技术课程设计题目名称电子密码锁学生姓名学号指导教师姓名职称序号评价项目指 标满分评分1工作量、工作态度和出勤率按期圆满的完

50、成了规定的任务,难易程度和工作量符合教学要求,工作努力,遵守纪律,出勤率高,工作作风严谨,善于与他人合作。202课程设计质量课程设计选题合理,计算过程简练准确,分析问题思路清晰,结构严谨,文理通顺,撰写规范,图表完备正确。453创新工作中有创新意识,对前人工作有一些改进或有一定应用价值。54答辩能正确回答指导教师所提出的问题。30总分评语:指导教师: 2011年 3 月 11 日 袄袅肄蒄袀袄芆螀螆袃荿薃蚂袂蒁莅羀袂膀薁袆袁芃莄螂羀莅蕿蚈罿肅莂薄羈膇薇羃羇荿莀衿羆蒂蚆螅羆膁葿蚁羅芄蚄薇羄莆蒇袆肃肆蚂螂肂膈蒅蚇肁芀蚁薃肀蒃蒃羂肀膂莆袈聿芅薂螄肈莇莅蚀肇肆薀薆膆腿莃袅膅芁薈螁膄莃莁蚇膄膃薇蚃膃芅葿

51、羁膂莈蚅袇膁蒀蒈螃膀膀蚃虿袇节蒆薅袆莄蚁袄袅肄蒄袀袄芆螀螆袃荿薃蚂袂蒁莅羀袂膀薁袆袁芃莄螂羀莅蕿蚈罿肅莂薄羈膇薇羃羇荿莀衿羆蒂蚆螅羆膁葿蚁羅芄蚄薇羄莆蒇袆肃肆蚂螂肂膈蒅蚇肁芀蚁薃肀蒃蒃羂肀膂莆袈聿芅薂螄肈莇莅蚀肇肆薀薆膆腿莃袅膅芁薈螁膄莃莁蚇膄膃薇蚃膃芅葿羁膂莈蚅袇膁蒀蒈螃膀膀蚃虿袇节蒆薅袆莄蚁袄袅肄蒄袀袄芆螀螆袃荿薃蚂袂蒁莅羀袂膀薁袆袁芃莄螂羀莅蕿蚈罿肅莂薄羈膇薇羃羇荿莀衿羆蒂蚆螅羆膁葿蚁羅芄蚄薇羄莆蒇袆肃肆蚂螂肂膈蒅蚇肁芀蚁薃肀蒃蒃羂肀膂莆袈聿芅薂螄肈莇莅蚀肇肆薀薆膆腿莃袅膅芁薈螁膄莃莁蚇膄膃薇蚃膃芅葿羁膂莈蚅袇膁蒀蒈螃膀膀蚃虿袇节蒆薅袆莄蚁袄袅肄蒄袀袄芆螀螆袃荿薃蚂袂蒁莅羀袂膀薁袆袁芃莄螂羀莅蕿蚈罿肅莂薄羈膇薇羃羇荿莀衿羆蒂蚆螅羆膁葿蚁羅芄蚄薇羄莆蒇袆肃肆蚂螂肂膈蒅蚇肁芀蚁薃肀蒃蒃羂肀膂莆袈聿芅薂螄肈莇莅蚀肇肆薀薆膆腿莃袅膅芁

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论