数电4人抢答器课程设计_第1页
数电4人抢答器课程设计_第2页
数电4人抢答器课程设计_第3页
数电4人抢答器课程设计_第4页
数电4人抢答器课程设计_第5页
已阅读5页,还剩26页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、四人智力竞赛抢答器一、设计目的1.掌握四人智力竞赛抢答器电路的设计、组装与调试方法。2.熟悉数字集成电路的设计和使用方法。二、设计任务与要求1、设计任务设计一台可供4名选手参加比赛的智力竞赛抢答器。 用数字显示抢答倒计时间,由“9”倒计到“0”时,无人抢答,蜂鸣器连续响1秒。选手抢答时,数码显示选手组号,同时蜂鸣器响1秒,倒计时停止。2、设计要求(1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。(2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。(3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按

2、动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。(4)抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响,音响持续1秒。参赛选手在设定时间(9秒)内抢答有效,抢答成功,扬声器响,音响持续1秒,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。(5)如果抢答定时已到,却没有选手抢答时,本次抢答无效。系统扬声器报警(音响持续1秒),并封锁输

3、入编码电路,禁止选手超时后抢答,时间显示器显示0。(6)可用石英晶体振荡器或者555定时器产生频率为1hz的脉冲信号,作为定时计数器的cp信号。三、四人智力竞赛抢答器电路原理及设计1、设计方案抢答器具有锁存、定时、显示和报警功能。即当抢答开始后,选手抢答按动按钮,锁存器锁存相应的选手编码,同时用led数码管把选手的编码显示出来,并且开始抢答时间的倒计时,同时用led数码管把选手的所剩抢答时间显示出来。而在选手按键抢答以及抢答时间倒计时到时的时候都有报警以提醒主持人和选手。抢答时间设定9秒,报警响声持续1秒。接通电源后,主持人将开关拨到“清除”状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示

4、设定时间;主持人将开关置“开始”状态,宣布“开始”抢答器工作。定时器倒计时,扬声器给出声响提示。选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示、扬声器提示。当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示剩余时间。如果再次抢答必须由主持人再次操作“清除”和“开始”状态开关。2、系统框图 当主持人宣布开始,定时电路开始秒脉冲电路的作用而进行倒记时,并通过译码器在显示器中显示。报警电路给出声音提示。当选手首先按某一开关键时,可通过触发锁存电路被触发并锁存,在输出端产生相应的开关电平信息,同时为防止其它开关随后触发而产生紊乱,最先产生的输出电平变化又反过来将触发电路锁定。然后在

5、译码器中译码,将触发器输出的数据转换为数码管需要的逻辑状态。最后在显示电路中显示出所按键选手的号码。若有多个开关同时按下时,则在它们之间存在着随机竞争的问题,结果可能是它们中的任一个产生有效输出。如图1.抢答按钮触发器锁存电路译码电路主持人控制开关控制电路报警电路秒脉冲产生电路定时电路译码电路显示电路显示电路 图1系统框图3、方案比较方案1:采用cd4511芯片作为抢答信号的触发、锁存和译码输出。这样虽然比较简便,但实际在实现锁存功能时比较繁琐难实现。方案2:采用d触发器和译码器来完成抢答部分。虽然元件较多,但在实现锁存功能时可以简单的实现。经过对比两方案的优缺点,决定采用抢答信号锁存简单实现

6、的方案2。然后利用软件multisim来进行仿真调试,再进行逐步改进。4、单元电路设计及元器件选择(1)抢答电路 电路如图2所示。该电路完成两个功能:一是分辨出选手按键的先后,并锁定74ls175的功能真值表即优先抢答者的编号,同时译码显示电路显示选手编号;二是要使其他选手随后的按键操作无效。 图2 抢答电路其工作原理为:当主持人控制开关处于“清除”时,d触发器的清零端为低电平,使d触发器被强制清零,输入的抢答信号无效。当主持人将开关拨到“开始”时,d触发器q非端前一状态为高电平,四个q非端与在一起为高电平,再和抢答按键信号和借位信号与在一起给d触发器的脉冲端,当没人抢答时,抢答信号为低电平,

7、与门u11输出端为低电平给d触发器脉冲端,当一有人抢答时,抢答信号为高电平,并和u2的输出信号和借位信号与在一起,使得u11输出端为高电平给d触发器,于是d触发器就有一个上升沿,使得抢答信号经d触发器触发锁存再经过译码器74ls48译码,把相应的信号显示在数码管上。另外,当选手松开按键后,d触发器的q非前一状态为低电平,与在一起后给与门u11,使得u11的输出端为低电平给d触发器,则d触发器的脉冲输入端恢复原来状态,从而使得其他选手按键的输入信号不会被接收。这就保证了抢答者的优先性及抢答电路的准确性。当选手回答完毕,主持人控制开关s是抢答电路复位,以便进行下一轮抢答。 (2)定时电路 节目主持

8、人通过按复位键来进行抢答倒计时。如图3。定时9秒,把74ls192对应的9,10,1,15四个端子预置为“1001”。计数器的时钟脉冲由秒脉冲电路555提供。当复位开关按下时,给74ls192一个低电平,从而开始倒计时,每来一个脉冲信号进行减计数一次。当有选手抢答或借位信号时,就使得74ls192的输入脉冲变成低电平,从而实现倒计时的停止。再按复位键时,再一次倒计时。图3 定时电路(3)报警电路由74ls121和蜂鸣器构成的报警电路,但仿真中没有74ls121,因此用功能相同的monostable virtual(后文简称a1)来代替仿真,如图4所示。其中a1的脉冲输入端是由复位信号和q非的与

9、信号和借位信号与在一起来提供的。当其中一个信号为低电平时,使得a1得到一个下降沿脉冲,从而使a1的q端输出一个宽度为一秒的高电平,那么蜂鸣器就会响一秒。反之,电路停振,蜂鸣器不响。 图4 报警电路(4)时序控制电路 时序控制电路是抢答器设计的关键,它要完成以下三项功能: 1)主持人将控制开关拨到“开始”位置时,扬声器发声,抢答电路和定时电路进入正常抢答工作状态。 图5 抢答与定时时序控制电路2)当参赛选手按动抢答键时,扬声器发声,抢答电路和定时电路停止工作。 3)当设定的抢答时间到,无人抢答时,扬声器发声,同时抢答电路和定时电路停止工作。 根据上面的功能要求,设计的时序控制电路如图5所示。 图

10、5中,u2与门74ls21作为抢答的控制信号;u12与门74ls11和u13与门74ls21的作用是控制时钟信号cp的放行与禁止;u11与门74ls11的作用是控制74ls175的输人脉端,u10的作用是控制74ls121的输入脉冲端。工作原理是:主持人控制开关从“清除”位置拨到“开始”位置时,74ls175的输出 q!=1,u2输出为1,借位信号为1,则u12输出为1,使u13输出为1,则时钟信号cp能够加到74ls192的时钟输入端,定时电路进行递减计时。同时,在定时时间未到时,则“定时到信号”为1,u11和u13的输出为1,使 74ls175和74ls192处于正常工作状态,从而实现功能

11、(1)的要求。当选手在定时时间内按动抢答键时,q!0,u2输出为0,封锁 cp信号,则u12输出为0,使u13输出为0,定时器停止倒计时处于保持工作状态;同时,u11的输出为1使d触发器触发而输出信号 ,也使u10输出为0,给了74ls121一个上升沿,使74ls121处于工作状态给蜂鸣器一个一秒的高电平,从而实现功能(2)的要求。当定时时间到时,则“定时到信号”为0,u10输出为0,有给了74ls121一个下降沿,使 74ls121处于工作状态给蜂鸣器一个一秒的高电平,禁止选手进行抢答。同时,u13输出为0,封锁 cp信号,使定时电路保持0状态不变,从而实现功能(3)的要求。集成单稳触发器7

12、4ls121用于控制报警电路及发声的时间。 (5)元器件列表 表1 序号 器件名称 数量 备注 1 74ls175 1 d触发器2 74ls192 1 同步减法计数器3 555定时器 1 连接成多谐振荡与秒时钟脉冲4 74ls21 1 四脚与门5 74ls11 1 三脚门6 74ls48 2 译码器7 74ls121 1 单稳态振荡器8 开关按纽s 5 9 扬声器 1 10 bcd七段显示器 2共阴极11电容 312电阻 913二极管65、四路抢答器总电路图 图6 总电路图四、成品调试把电路焊好后,首先是对照电路图逐一检查电路有没有漏焊,经检查发现有几个管脚没接,于是细心地接上。然后用万用表逐

13、一检查有没有虚焊或线路断路或线路短路,但没发现有虚焊等问题。下一步就接通电源调试,但电路完全没反应,然后用电源逐个模块进行检查,发现有些管脚还没接上,于是在对照电路图细心地检查,改进后再进行接电调试,先测试脉冲的输出,正常,如图9和图10。但下一步发现倒计时是从“6”开始,然后查找74ls192的相关资料才知道其输出端不只是数字“9”才有“1001”出现,在“6”的时候也是这样(我把“1001”两边的“1”用或门或在一起作为反馈信号),所以修改电路图把74ls192的反馈信号改接到其借位信号输出端,再进行调试,这次欣喜地发现功能已经实现了,最后完善一下电路,宣告成品大功告成。 图9 555输出

14、脉冲 图10 74ls121输出脉冲五、设计过程中的问题和解决办法(1)在设计方案时,遇到了信号不能锁存的问题。经过查找资料,了解如何通过d触发器的输出信号来反馈回d触发器的脉冲端来进行锁存。(2)在仿真时遇到了555不能仿真和没仿真元件74ls121的问题。通过翻阅书本了解555如何准确使用和上网搜索74ls121的代替元件来解决。(3)当焊完电路调试时,数码管没显示。于是对照电路图来逐一检查,发现有些管脚没接上。例如数码管的接地端没接地、74ls192的clr脚没接地等等。六、设计成品的优点与不足优点:元器件较少,功能满足要求不足:(1)在设计时,用了d触发器来进行抢答信号的筛选和锁存,在

15、同时按下s0和s1时(其同时按下的几率较小,其可精确到微秒甚至纳秒级,但情况还是会存在的)会显示“3”,而按s2时也会显示“3”,所以显示“3”的几率大一点,但几率很小。改良:可改用编码器和锁存器来代替d触发器。(2)电路跳线较多,使得电路板外观不好。改良:焊线路前应细心考虑元件的排布和线路的连接。七、心得体会 这一课程设计使我们将课堂上的理论知识有了进步的了解,并增强了对数字电子技术这门课程的兴趣。了解了更多电子元件的工作原理,如:74ls121、74ls48、74ls192等。但同时也暴露出我在知识上掌握不足等缺点。其次在此次设计过程中由于我们频繁的使用一电子设计软件如:multisim等

16、,因此使我熟悉了软件的使用,同时在电脑的电子设计和绘图操作上有了进一步提高。加上在设计过程中遇到了一些问题,使得我得查找相关资料,从而增长知识的同时增强解决问题和动手的能力,锻炼我做事细心、用心、耐心的能耐。这一课程设计,使我向更高的精神和知识层次迈向一大步。所以在以后的学习生活中,我会努力学习,培养自己独立思考的能力,积极参加多种设计活动,培养自己的综合能力,从而使得自己成为一个有综合能力的人才而更加适应社会。四人智力竞赛抢答器课程设计报告一、设计题目题目:四人智力竞赛抢答器二、设计任务和要求1)设计任务设计一台可供4名选手参加比赛的智力竞赛抢答器。 用数字显示抢答倒计时间,由“9”倒计到“

17、0”时,无人抢答,蜂鸣器连续响1秒。选手抢答时,数码显示选手组号,同时蜂鸣器响1秒,倒计时停止。2)设计要求(1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。(2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。(3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。(4)抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始

18、倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响,音响持续1秒。参赛选手在设定时间(9秒)内抢答有效,抢答成功,扬声器响,音响持续1秒,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。(5)如果抢答定时已到,却没有选手抢答时,本次抢答无效。系统扬声器报警(音响持续1秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。(6)可用石英晶体振荡器或者555定时器产生频率为1hz的脉冲信号,作为定时计数器的cp信号。三、原理电路设计:1、方案比较;方案一:抢答电路:使用74ls175作为锁存电路,当有人抢答时,

19、 利用锁存器的输出信号号将时钟脉冲置零,74ls175立即被锁存,同时蜂鸣器鸣叫1s,这时抢答无效,使用74ls148作为编码器,对输入的型号进行编码,输出4位的bcd码,再将这四位的bcd码输入共阴数码管里显示出抢答者的编号。主持人电路:;利用74ls190计数器作为倒计时的芯片,当主持人按下抢答按钮时,74ls190被置九,同时将显示上次抢到题目的选手编号的数码管清零,并开始倒计时,并通过74ls48编码器将即时时间进行编码,并送到7段共阴数码管,显示此时的时间。假如在9秒内有人抢答,则计数器停止倒计时,将锁存器锁存,禁止选手抢答,蜂鸣器鸣叫一秒,停止倒计时。方案二:锁存电路采用cd404

20、2来触发,如果用cd4042,则可以用低电平触发,当有人抢答时, 利用锁存器的输出信号号将时钟脉冲置零,cd4042 立即被锁存,同时蜂鸣器鸣叫1s,这时抢答无效。此外当倒计时到0时,利用借位端来将锁存器的信号置零。而不是像方案一那样使用max/min端。对以上两个方案进行比较可以发现,两个方案均能理论上实现电路的功能,但是从实际情况看,cd4042比较少见,很难买到这个芯片,而74ls175则很常见,比较容易买到。而在实际情况中,虽然74ls190的max/min端和同样是借位端,当时只有半个周期的变化,并不能将时钟信号置零,而max/min有一个周期的变化,所以用max/min比较合适。宗

21、上所述,选择第一个方案比较合理。2、电路流程图;锁存器电路编码器电路译码器电路数码管显示倒计时电路编码器电路数码管显示1khz脉冲电路1hz脉冲电路单稳态电路蜂鸣器电路门单元电路选手抢答主持人按钮整个电路如上图所示,主要分为两部分,一个是倒计时部分,一个是抢答电路,其中抢答器电路由锁存器电路,编码器电路,译码器电路,数码管显示电路组成,其中锁存器电路可用1khz脉冲电路作为其时钟端输入脉冲,倒计时电路由倒计时芯片,编码器电路路,数码管显示电路,倒计时采样1hz的脉冲作为时钟信号输入端。单稳态电路可以控制蜂鸣器鸣叫的时间,按要求可设置为1秒钟。3、单元电路设计;(1)、锁存器电路的设计锁存器电路

22、采用以74ls175为中心的锁存器系统,当4个抢答输入端中出现低电平输入时信号时,锁存器立即锁存,禁止抢答,其原本为4个高电平的输出端也变成3高一低,可以利用一个4输入与非门将其与非,再接一个非门后,可以与74ls175的时钟信号相与非,使得clk端的输入信号为底电平,从而阻止其余选手的抢答,从而达到锁存的目的74ls175的真值表如下:锁存器的单元电路设计如下:(2)、编码器电路的设计编码器采样74ls148作为编码芯片,将输入的信号进行编码,然后输出2二进制码,由于74ls175为优先编码其,故需要将其未用到的高优先级的端和74ls175的输出的4与非端进行连接,避免在无人抢答时输出型号。

23、74ls148的真值表如右图:编码器电路如下:(3)、译码器电路和数码管显示电路的设计抢答部分和倒计时部分的译码器均采用74ls48芯片,而数码管则选择与之相对应的7段共阴数码管搭配,为避免电路过小,可在译码器与数码管间接上拉电阻以增大电流,上拉电阻选用1k的9针排阻。译码器电路和数码管显示电路设计如下:74ls48的真值表如下:(4)、倒计时电路的设计倒计时电路采用74ls190作为倒计时芯片,并将其输入端置九,clk信号输入端采样1hz的信号输入,同时可利用其借位输出端maxmin来控制抢答端,并且可以让电路在到零时保持。74ls190真值表如下:倒计时单元电路如下:(5)、时钟电路的设计

24、在本电路中需要两种时钟脉冲,一种是给74ls175提供的1khz脉冲信号,另一种是给倒计时电路74ls190提供的1hz,根据555多谐振荡器的频率计算公式:可以求得1hz的电路电阻均取47k,电容取10uf,而1khz电路的电阻取4.7k,电容取0.1uf。(6)、单稳态电路及蜂鸣器的设计为保证蜂鸣器鸣叫时间为一秒,可以使用单稳态触发电路来实现,单稳态电路的芯片可以选择74ls123。根据74ls123的暂稳态计算公式:我们可以选择r为36k,c为100uf,则在误差许可范围内,鸣叫时间大约是1秒。74ls123的真值表如下:蜂鸣器采用有源蜂鸣器,为避免单稳态电路输出端电流不足,可以使用一个

25、npn三极管来驱动蜂鸣器鸣叫。单稳态电路及蜂鸣器电路如下:4、电路工作原理;抢答电路:使用74ls175作为锁存电路,当有人抢答时, 利用锁存器的输出信号号将时钟脉冲置零,74ls175立即被锁存,同时蜂鸣器鸣叫1s,这时抢答无效,使用74ls148作为编码器,对输入的型号进行编码,输出4位的bcd码,再将这四位的bcd码输入共阴数码管里显示出抢答者的编号。主持人电路:;利用74ls190计数器作为倒计时的芯片,当主持人按下抢答按钮时,74ls190被置九,同时将显示上次抢到题目的选手编号的数码管清零,并开始倒计时,并通过74ls48编码器将即时时间进行编码,并送到7段共阴数码管,显示此时的时

26、间。假如在9秒内有人抢答,则计数器停止倒计时,将锁存器锁存,禁止选手抢答,蜂鸣器鸣叫一秒,停止倒计时。5、整体电路。(具体清晰电路请详见附件)电路元件清单:名称及标号型号及大小封装形式数量锁存器74ls175dip161个编码器74ls148dip161个译码器74ls48dip162个计数器74ls190dip161个4与非门74ls20dip142个2与非门74ls00dip141个非门74ls04dip141个556ne556ndip141个单稳触发器74ls123dip141个复位开关sw-pbsw-pb5个电阻200axial-0.35个47kaxial-0.32个35kaxial-

27、0.31个4.7kaxial-0.32个10kaxial-0.31个10axial-0.31个电容100ufrb.3/.61个10ufrb.2/.4一个104rad-0.21个103rad-0.12个九针排阻1ksip92个7段共阴数码管2个npn三极管8050t092-a2个蜂鸣器1个四、电路和程序调试过程与结果:先按照设计图各个单元电路进行仿真,并对各个电路的性能及波形进行测试,发现电路的缺点和不足之处,例如74ls190的借位输出端端虽然在倒计时到0时有低电平出现,但是时间只有半个周期,无法与信号与非,故不能用来和锁存触发器,必须用maxmin代替。当调试好各个单元电路时,对各个电路进行

28、连接组装,连接好后对电路总的性能进行调试,看各部分的功能能达到要求。本电路在连接后,经测试,各部分的功能均能实现,显示正确五、总结本电路使用了锁存器,编码器,译码器数码管等构成倒计时电路使用了74ls190等电路实现倒计时,并利用使能端及门电路,实现各项锁存,鸣叫,清零等功能,总结如下:优点:电路功能原理清晰,各项功能均达到了要求,显示准确,反应灵敏,无竞争冒险现象,基本满足了普通竞赛的抢答要求。缺点:如果长按住按钮不放,主持人清零后将能获得抢答权,且由于编码器电路是优先编码器,所以如果两人抢答时间间隔在1ms以内,将出现编号靠前的选手获得抢答权的情况。改进:可以更改促发器的类型,如使用jk触

29、发器代替,则长按无效,或者在抢答端添加一个发光二极管,当有人作弊,二极管就会亮,从而阻止选手长按按钮的缺陷。心得体会:通过这次课程设计,我对于数字电路知识有了更深的了解,尤其是对数字逻辑芯片的性能和使用方面的知识有了进一步的研究。同时实物的制作也提升了我的动手能力,实践能力得到了一定的锻炼,加深了我对数字电路设计方面的兴趣。理论与实践得到了很好的结合。一,抢答器介绍:抢答器适用于各类知识竞赛,文娱综艺节目,尤其是各类知识竞赛,除了了可以把各抢答组号,违例组号,抢答规定时限,大体时间倒计时等在仪器等在仪器面板上显示外,还可以接大屏幕显示屏显给观众,既可以活跃现场气氛,又便于监督,做到公平竞争。例

30、如:三星智力快车等大家耳熟能详的节目。二,功能要求:(1) 本例中的抢答器最多可供4名参赛选手使用,编号为14号,各队分别用一个按钮(分别为s1s4)控制,并设置一个由主持人控制的系统清零,加分控制开关s,倒计时开关。(2) 抢答器具有数据锁存功能,并将锁存数据用led数码管显示出来,同时蜂鸣器发出声响,主持人清零后,声音提示停止。(3) 抢答器先后的分辨率为1ms.(4) 开关s作为清零及抢答器控制开关,当开关s被按下时,抢答电路清零,松开后则允许抢答。输入抢答信号由抢答按钮开关s1s4实现。(5) 有抢答器信号输入(开关s1s4中任意一个开关被按下)时,显示出对应的组别号码,此时再按下其他

31、任何一个开关无效,指示灯依旧“保持”第一开关按下时所对应的状态不变。(6) 加分电路由主持人控制,每次加分为一分,如果一人答错,则由剩下的人再抢答,直至回答正确为止,倒计时电路是20秒倒计时电路。三,总电路:四,使用说明:在总电路中,为了方便看图,我只画出了一号的号码显示电路,计分电路,声音发生电路。二号,三号,四号,可以同理画出。keya, keyb, keyc, keyd分别表示四个选手台上的抢答按钮,keye表示由主持人控制的清零按钮。x9为号码显示电路,x6为声音发生电路。在x1的下面是计分电路,四个按钮也是由主持人控制的,每按下一次加一分。最下面是倒计时电路。游戏规则是:主持人说出题

32、目后,按下倒计时电路,四位选手抢答,当某位选手按下按钮,其前面的灯亮起,并发出报警声,松开开关报警声停止,但灯继续亮着。选手要在规定时间内回答出答案,当回答完毕后,主持人按下keye,清零,灯灭。五,各部分电路具体分析:a:20秒倒计时电路本电路用二片74169可逆计数器级联而成,通过abcd引脚可以设定几秒计时,再通过数码显示管显示出数字。当qa,qb,qc,qd都为0时,计数器重置再次进行计数。b:声音发生电路当开关不闭合时,555电路不起振,没有矩形波产生,扬声器不发声;当开关闭合时,555电路rst端口处于高电平,555电路起振,产生矩形波,扬声器发声,修改u11的频率可以改变矩形波的

33、频率,听到的声音会不一样。c:四位抢答器数显抢答器由触发器,显示译码器,清零电路等组成,用二级管显示抢答器灯的亮灭,有人抢答后控制d触发器时钟信号自动闭锁其他人的信号传送,使其他组再按开关时失去作用。不同的组对应灯的颜色,按下按钮则对应的灯亮起。d:选手号码显示电路74192的预置数控制端实现预置数。e:选手计分电路用二片74161实现从099的计数功能。六:问题做课程设计时,对芯片的功能大多忘了,因为分了好多模块,单个模块仿真时没问题,但是放在一起仿真时就有问题了,就说那个倒计时电路,在一起仿真时,开关闭合后,数字显示不能自动倒计时,查了书,自己摸索,然后发现这个跟频率有关,频率高是计数器能

34、自动计数,当频率低时,只有当开关闭合一次,数字才跳转一次。七:设计感想这次课程设计历时一个月,通过运用eda设计四路抢答器程设计,发现自己的很多不足,自己知识的很多漏洞,看到了自己的实践经验还是比较缺乏,理论联系实际的能力还急需提高。在这个过程中,我也曾经因为实践经验的缺乏失落过,也曾经仿真成功而热情高涨。生活就是这样,汗水预示着结果也见证着收获。劳动是人类生存生活永恒不变的话题。虽然这只是一次的极简单的课程制作(四路抢答器),可是平心而论,也耗费了我不少的时间和精力,通过这次课程设计,我想说:为完成这次课程设计我确实很辛苦,但苦中仍有乐。当我遇到不会的时候就查书,询问同学,一起讨论,最终把问

35、题解决。这个是最重要的。当我制作成功的时候,当我连好线,按下按钮,led亮了起来,喇叭响起的是我一生以来最好听的声音,我的心中就不免兴奋,不免激动。以前种种艰辛这时就变成了最甜美的回忆! 对我而言,知识上的收获重要,精神上的丰收更加可喜。让我知道了学无止境的道理。我们每一个人永远不能满足于现有的成就,人生就像在爬山,一座山峰的后面还有更高的山峰在等着你。挫折是一份财富,经历是一份拥有。这次课程设计必将成为我人生旅途上一个非常美好的回忆!四人智力抢答电路一、设计任务与要求1.设计任务设计一台可供4名选手参加比赛的智力竞赛抢答器。 用数字显示抢答倒计时间,由“9”倒计到“0”时,无人抢答,蜂鸣器鸣响。选手抢答时,数码显示选手组号,同时蜂鸣器鸣响,倒计时停止。2.设计要求(1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。(2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。(3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。抢答器具有定时抢答的功能。(4)当主持人按下开始按钮后,定时器开始倒计

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论