![EDA教学规划-八路彩灯控制器_第1页](http://file2.renrendoc.com/fileroot_temp3/2021-6/11/806fdbeb-02d7-43c4-9244-4a930ba62cca/806fdbeb-02d7-43c4-9244-4a930ba62cca1.gif)
![EDA教学规划-八路彩灯控制器_第2页](http://file2.renrendoc.com/fileroot_temp3/2021-6/11/806fdbeb-02d7-43c4-9244-4a930ba62cca/806fdbeb-02d7-43c4-9244-4a930ba62cca2.gif)
![EDA教学规划-八路彩灯控制器_第3页](http://file2.renrendoc.com/fileroot_temp3/2021-6/11/806fdbeb-02d7-43c4-9244-4a930ba62cca/806fdbeb-02d7-43c4-9244-4a930ba62cca3.gif)
![EDA教学规划-八路彩灯控制器_第4页](http://file2.renrendoc.com/fileroot_temp3/2021-6/11/806fdbeb-02d7-43c4-9244-4a930ba62cca/806fdbeb-02d7-43c4-9244-4a930ba62cca4.gif)
![EDA教学规划-八路彩灯控制器_第5页](http://file2.renrendoc.com/fileroot_temp3/2021-6/11/806fdbeb-02d7-43c4-9244-4a930ba62cca/806fdbeb-02d7-43c4-9244-4a930ba62cca5.gif)
版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、EDA课程设计设计题目:基于 VHDL的8路彩灯控制器设计一、课程设计的目的1. 熟悉Quartus U软件的使用方法,使用VHDL文本输入设计法进行任务设 计。2 .增强自己实际动手能力,独立解决问题的能力。3 .通过课程设计对所学的知识进行更新及巩固.二、课程设计的基本要求本次课程设计是设计一个8路彩灯控制器,能够控制8路彩灯按照两种节 拍,三种花型循环变化。设计完成后,通过仿真验证与设计要求进行对比,检验 设计是否正确。三、课程设计的内容编写硬件描述语言VHDL程序,设计一个两种节拍、三种花型循环变化的8 路彩灯控制器,两种节拍分别为 0.25s和0.5s。三种花型分别是:(1) 8路彩
2、灯分成两半,从左至右顺次渐渐点亮,全亮后则全灭。(2 )从中间到两边对称地渐渐点亮,全亮后仍由中间向两边逐次熄灭。(3) 8路彩灯从左至右按次序依次点亮,全亮后逆次序依次熄灭。四、实验环境PC 机一台;软件 Quartus n 6.0五、课程设计具体步骤及仿真结果1、系统总体设计框架结构Jenpiri2:u1coiorS:u3分频模块:把时钟脉冲二分频,得到另一个时钟脉冲,让这两种时钟脉冲来 交替控制花型的速度。二选一模块:选择两种频率中的一个控制彩灯的花型。8路彩灯的三种花型控制模块:整个系统的枢纽,显示彩灯亮的情况2、系统硬件单元电路设计1.分频模块设计实验程序:library ieee;
3、use ieee.std_logic_1164.all;en tity fenpin2 isport( clk:in std_logic;clkk:out std_logic);end fenpin2;architecture behav of fenpin2 is beg inprocess(clk)variable clkk1:std_logic:=0;begi nclkk1:= n ot clkk1;if clkevent and clk=1 then end if;clkk=clkk1;end process;end behav;RTL电路图:波形图:2. 二选一模块设计实验程序:li
4、brary ieee;use ieee.stdo gic_1164.all;en tity mux21 isport(a,b,s:in stdo gic;y:out stdo gic);end mux21;architecture behave of mux21 isbeg inprocess(a,b,s)beg inif s=0 the n y=a;else y=b;end if;end process;end behave;RTL电路图:波形图:3.8路彩灯的三种花型控制模块设计程序:library ieee;use ieee.stdo gic_1164.all;use ieee.std_
5、logic_ un sig ned.all;en tity color8 isport(clk,rst:in std_logic;q:out std_logic_vector(7 downto 0);end;architecture a of color8 issignal s:stdogic_vector(4 downto 0);beg inprocess(s,clk)beg inif rst=1 then s=00000;elsif clkevent and clk= 1 thenif s=11111 the ns=00000;else sqqv=10001000;whe n 00010=
6、qqqqqqqqv=11111111;when 01010=qqqqqqqqqqqqqqqqqqqqn ull;end case;end if;end process;RTL电路图:end;波形图:4.综合程序library ieee;use ieee.std_logic_1164.all;en tity fenpin2 isport( clk:in std_logic;clkk:out std_logic);end fenpin2;architecture behav of fenpin2 isbeg inprocess(clk)variable clkk1:std_logic:=0begi
7、 nclkk1:= n ot clkk1;if clkevent and clk=1 thenend if;clkk=clkk1;end process;end behav;library ieee;use ieee.stdo gic_1164.all;en tity mux21 isport(a,b,s:in std_logic;y:out stdo gic);end mux21;architecture behave of mux21 is beg in process(a,b,s)beg inif s=0 the n y=a;else y=b;end if;end process;end
8、 behave;library ieee;use ieee.stdo gic_1164.all;use ieee.std_logic_ un sig ned.all;en tity color8 isport(clk,rst :in std_logic;q:out stdogic_vector(7 downto 0); end;architecture a of color8 issignal s:stdogic_vector(4 downto 0);beg inprocess(s,clk)beg inif rst=1 then s=00000;elsif clkevent and clk=
9、1 then if s=11111 thens=00000;else sqqqqqqqqqqqqqqqqqqqv=11111000; when 10011=qqqqqqqqqqqn ull;end case;end if;end process; end;library ieee;use ieee.stdo gic_1164.all;use ieee.std_logic_ un sig ned.all; en tity balucaide ng is port (clk,s,rst:in std_logic;q:out std_logic_vector(7 downto 0);end;architecture one of balucaide ng is sig nal h0,h1:std_logic;comp onent fenpin2port( clk:in std_logic; clkk:out std_logic); end comp onent;comp onent mux21 port(a,b,s:in std_logic;y:out std_logic); end comp onent;comp onent color8port(clk,rst :in std_logic;q:out stdogic_vector(7 downto 0);e
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 朗服装搭配培训
- 大学预防流感
- 2024-2025学年九年级化学下册第九单元溶液课题3溶液的浓度作业设计新版新人教版
- 郑州科技学院《软件项目管理与工程经济学实践》2023-2024学年第二学期期末试卷
- 新疆科技职业技术学院《软件测试与软件质量管理实践》2023-2024学年第二学期期末试卷
- 泸州四川泸州市儿童福利院招聘编外工作人员笔试历年参考题库附带答案详解
- 南方医科大学《DMAX》2023-2024学年第二学期期末试卷
- 昆明卫生职业学院《动物微生物学》2023-2024学年第二学期期末试卷
- 宁夏卫生健康职业技术学院《工程概预算》2023-2024学年第二学期期末试卷
- 福州软件职业技术学院《天然气工程》2023-2024学年第二学期期末试卷
- 北京市城市管理委员会直属事业单位公开招聘10人高频难、易错点500题模拟试题附带答案详解
- 禁止送礼的协议书
- 2024年版《输变电工程标准工艺应用图册》
- 2024年高考数学试卷(北京)(空白卷)
- 2024从洞见到生意:阿里健康特色人群消费趋势报告-阿里健康x一财商学院
- 《2023-2024中国区块链发展年度报告》
- 人教版2024年新教材七年级上册英语starter unit 1 -unit7重点短语句型清单
- 排水管网更新改造项目经济效益和社会效益分析
- 护理服务在产科中的应用课件
- 【江苏省机电产品出口贸易规模结构及问题和完善策略14000字(论文)】
- 2024年小升初语文入学分班测试卷四(统编版)
评论
0/150
提交评论