可编程器件及应用课程设计_第1页
可编程器件及应用课程设计_第2页
可编程器件及应用课程设计_第3页
可编程器件及应用课程设计_第4页
可编程器件及应用课程设计_第5页
已阅读5页,还剩2页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、成绩 徐州工程学院可编程器件及应用实验设计名称 七段数码管控制接口 学 院 信电学院 专业班级 学生姓名 学 号 指导教师 2013 年 1 月 3 日七段数码管控制接口一、实验目的1、设计并实现一个七段数码管控制接口;2、巩固使用quartus ii软件进行fpga开发的过程以及实验箱的使用方法;3、掌握多个进程并发执行的工作原理;4、进一步掌握vhdl语言的基本语句;二、设计要求设计一个共阴7段数码管控制接口电路。在时钟信号的控制下,使数码管显示013579。 三、实验连线1.将ep2c5适配板左下角的jtag用十芯排线和万用下载区左下角的sopc jtag口连接起来,万用下载区右下角的电

2、源开关拨到sopc下载的一边;2.实验板右下方频率源clk1接任意频率作为扫描频率,请将jpled1短路帽右插,jpled的短路帽全部上插;3.将实验板左端的jp103全部用短路帽接上。四、实验原理1、七段数码管发光原理。七段数码管一般由八个发光二极管组成,其中七个发光二极管排列成“8”字形,另一个位于小数点位置。根据连接形式的不同,数码管分为共阴极和共阳极两类。对于共阴极数码管,八个发光二极管的阴极连接在一起作为公共端,阳极作为段驱动端分别命名为a,b,c,d,e,f,g和dp。当公共端为低电平时,段驱动端为高电平时,相应段的二极管点亮发光。共阳极数码管正好相反。 2、数码管动态扫描原理。c

3、lk为时钟输入端,rst为复位信号输入端,ledout6.0为段驱动输出;sel 7.0为位选信号输出;在实验仪器中,8位7段数码选用了共阴极类型。设计要求8个彩灯是轮流点亮,一个数码管显示013579。表1 共阴极数码管bcd码-段码译码器真值表五、实验步骤1、启动quartus ii 7.2,file new project 、new vhdl file创建工程并输入设计源文件。2、对源文件进行编译并功能仿真、波形仿真,processing start compilation、generate function simulation、start simulation。3、点击assignm

4、entpins,打开引脚图,分配引脚,完成设计实现过程。4、在实验箱上连线进行程序下载,观察现象。附:vhdl源程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity count_c8 isport(clk5:in std_logic;rst :in std_logic;seg_sel:out std_logic_vector(2 downto 0);seg_da:out std_logic_vector(7 downto 0);

5、led :out std_logic_vector(7 downto 0);endcount_c8;architecture behaveof count_c8 issignal shift_cnt,temp:std_logic_vector(3 downto 0);signal cnt_value:std_logic_vector(3 downto 0);signal clk:std_logic;beginprocess(clk5) 4分频,将16hz进行4分频;beginif clk5event and clk5=1 thenclk=not clk;end if;end process;p

6、rocess(clk)beginif clkevent and clk=1 thenif temp=0101 then temp =0000; else temp=temp+1; end if;end if;end process;shift_cnt cnt_value cnt_value cnt_value cnt_value cnt_value cnt_value cnt_value=0000;end case;end process;seg_sel seg_da=x3f;led seg_da=x06;led seg_da=x4f;led seg_da=x6d;led seg_da=x07

7、;led seg_da=x6f;led null;end case;end process; end behave;六、实验过程截图1、quartusfileopen,打开工程,打开源程序:2、编译、功能仿真、波形仿真:由波形图可看出,led是从d101到d106逐个点亮。当d101亮时,seg_da值为00111111,数码管显示数字0;当d102亮时,seg_da值为00000110,数码管显示数字1;当d103亮时,seg_da值为01001111,数码管显示数字3;当d104亮时,seg_da值为01101101,数码管显示数字5;当d105亮时,seg_da值为00000111,数码管显示数字7;当d106亮时,seg_da值为01101111,数码管显示数字9;再依次循环。3、分配引脚4、下载到实验箱,得

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论