基于EDA技术的FSK调制与解调_第1页
基于EDA技术的FSK调制与解调_第2页
基于EDA技术的FSK调制与解调_第3页
基于EDA技术的FSK调制与解调_第4页
基于EDA技术的FSK调制与解调_第5页
已阅读5页,还剩10页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、编号:南阳师范学院2007届毕业生毕业论文(设计)题 目: 基于EDA技术的2FSK调制与解调 完 成 人: 班 级: 2003-03 学 制: 4 年 专 业: 电子信息与科学技术 指导教师: 完成日期: 2007-03-31 目 录摘要 1 引言(1)2 概述(2)2.1 数字调制技术概况(2)2.2 VHDL语言特点和基本结构(2)2.3 设计思路(3)3 总体设计思路(4)4 二进制频移键控信号的调制与解调基本原理(4)4.1 二进制频移键控信号的调制的基本原理(4)4.2 二进制频移键控信号的解调的基本原理(5)5 二进制频移键控信号的调制与解调的VHDL程序实现(8)5.1 二进制

2、移频键控信号调制的VHDL程序实现(8)5.2 二进制移频键控信号解调的VHDL程序实现(9)6 结论(10)Abstract(11)附录(11)基于EDA技术的2FSK的调制与解调作 者:温秋艳 指导老师:张 帅摘要:基于EDA的种种优势,本文在阐述数字通信中二进制频移键控信号的调制与解调的基本原理和EDA技术及其系统描述设计语言VHDL语言的基本特点和语法结构的基础上,给出了在MAX+PLUS开发软件环境下,利用VHDL系统硬件描述语言设计二进制频移键控信号的调制与解调的具体方法及仿真分析结果。关键词:2FSK调制与解调;EDA技术;VHDL1 引言随着电子技术的发展,数字系统的设计正朝高

3、速度、大容量、小体积的方向发展,传统的自底而上的设计方法已难以适应形势。EDA(Electronic Design Automation)技术应运而生,使传统的电子系统设计发生了根本的变革。EDA技术就是依赖功能强大的计算机,在EDA工具软件平台上,对以硬件描述语言VHDL为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、逻辑化简、逻辑分割、逻辑综合、结构综合(布局布线),以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。EDA技术使得设计者的工作仅限于利用软件的方式,即利用硬件描述语言和EDA软件来完成对系统硬件功能的实现。系统可现场编程,在线升级;整个系统低功耗,多功能等特点,成

4、为现代电子设计发展的趋势。EDA技术彻底改变了数字系统的设计方法和实现手段,借助于硬件描述语言的国际标准VHDL和强大的EDA工具,可减少设计风险并缩短周期,随着VHDL语言使用范围的日益扩大,必将给硬件设计领域带来巨大的改革。VHDL语言是目前应用于数字系统仿真最为实用的语言之一。2 概述2.1 数字调制技术概况数字调制技术是现代通信的一个重要内容,在数字通信系统中,由于数字信号具有丰富的低频成分,不宜进行无线传输或长距离电缆传输,因而需要将基带信号进行数字调制(Digital Modulation)。数字调制同时也是数字信号频分复用的基本技术。数字调制与模拟调制具有许多类似的特点,一般都是

5、利用调制信号(模拟基带信号或数字基带信号)对正弦型载波进行调制,使载波的幅度/频率或相位发生变化以携带调制信号的信息;两者的主要区别表现在模拟调制是用模拟调制信号去调制载波,而数字调制是用数字信号去调制载波。但是,与模拟调制系统对比,数字调制的突出优先之一,是抗干扰(或噪声)能力强。在采用模拟调制的传输系统中,一旦产生失真或引入干扰,且这些干扰的频率又与信号频谱重叠,则它们对解调信号的影响是难以消除的。而在采用数字调制的传输系统中,尽管解调信号存在失真或干扰,但只要取样判决电路能正确判定每个码元所代表的是1还是0,就可不失真地重现原信号。频移键控(FSK)是数字通信中经常使用的一种调制方法。F

6、SK方法简单,易于实现,也可以异步传输,抗噪声和抗衰性能也较强。缺点是占用频带较宽,频带利用不够经济。因此,FSK主要应用于低、中速数据传输,以及衰落信道和频带较宽的通信中。以往的频移键控调制与解调采用“固定功能集成电路连线”方式设计,集成块多,连线复杂,容易出错,且体积较大,本设计采用VHDL语言进行设计,具有良好的可移植性和产品升级的系统性同时采用了Altera公司的FPGA芯片,有效地缩小了系统的体积。2.2 VHDL语言特点和基本结构VHDL语言即超高速集成电路硬件描述语言。它是一种用形式化方法来描述数字电路和设计数字逻辑系统的语言。特别适合描述复杂的组合逻辑、组运算、状态机和真值表,

7、是1980年美国国防部实施超高速集成电路VHSIC项目中开发形成的描述集成电路结构和功能的标准语言,并在1987年成了IEEE的标准。和传统门级设计方法相比有以下几个特点: 设计层次高,用于在较复杂的运算时能尽快的发现问题,缩短设计周期,产品上市快,从而降低成本。 与工艺无关独立实现,修改方便,系统描述能力强。 可读性好,有利于交流,适合文档保存。 VHDL标准、规范并且可移植性强。 VHDL类型多,并且支持用户自定义类型、支持自上而下的设计方法和多种电路设计。VHDL语言程序设计的基本语法结构如下: Library;-指定库名 Use .all-指定程序包 Entity 实体名 is gen

8、eric(类属声名);-实体声明 port(端口声明); end实体名称; architecture结构名称of 实体名称is -结构体声明 声明语句 begin 并行处理语句; end 结构体名称;2.3 设计思路2FSK信号是在通信技术领域中经常要使用到的一种调制技术,在传统设计中是通过设计专用电路来实现的。由于PLD器件具有可重构性的特点,并且现在大多数PLD器件速度快、存储容量大,因此完全可以使用EDA技术在PLD器件上建立2FSK模型。由于本文设计的是一种通信调制技术,只需编写出相应的调制与解调程序,下载到PLD器件中即可,因此本文没有硬件电路设计,完全是软件设计。主要设计思路是根据

9、2FSK调制与解调,使用VHDL语言编写相应的程序,编写完成后使用EDA软件进行编译、仿真,完全正确后下载到PLD器件中。如果以后需要进行修改,只需将修改过的程序再次下载到PLD器件中即可。3 总体设计思路 由于是对2FSK调制与解调技术进行通信建模,因此首先需要对2FSK调制与解调的基本原理有一定的了解。由于2FSK信号产生的方法及FSK解调方法有多种,那么就需要进行比较,找出一种适合的方法,然后针对该方法进行程序设计。在设计时首先勾画出2FSK调制与解调的模型框图,然后根据框图对相应的模块进行程序设计。程序编写完成后进行编译,无误后可产生其对应的VHDL建模符号,进行仿真,观察是否与2FS

10、K实际调制与解调情况一致,如果不一致,则对程序进行修改。4 二进制频移键控信号的调制与解调基本原理4.1 二进制频移键控信号的调制的基本原理2FSK信号是用载波的频率来传送数字消息,即用所传送的数字消息控制载波的频率。2FSK信号便是符号“1”对应于载频f1,而符号“0”对应于载频f2(与f1不同的另一载频)的已调波形,而且f1与f2之间的改变是瞬间完成的。移频键控信号产生的方法通常有两种:直接调频法和频率键控法。(1)直接调频法直接调频法是用数字基带信号直接控制载频振荡器的振荡频率。其实现电路有多种,一般采用的方法是:当基带信号为正时(相当于“1”码),改变振荡器谐振回路参数,使振荡器的振荡

11、频率提高(设为f1);当基带信号为负时(相当于“0”码),改变振荡器谐振回路的参数,使振荡器的振荡频率降低(设为f2),从而实现调频。这种方法产生的调频信号是相位连续的,虽然实现方法简单,但是频率稳定度不高,频率转换速度不能太快。(2)频率键控法该方法也称频率选择法,图1是其实现的原理框图。图1 频率键控法原理图频率键控法产生的FSK信号频率稳定度可以做的很高,并且没有过渡频率,转换速度快,波形好。图2是利用两个独立分频器,以频率键控法实现fsk调制的原理电路图:图2 利用独立分频器的键控法实现FSK调制4.2 二进制频移键控信号的解调的基本原理2FSK解调就是从FSK载波信号中恢复调制码元,

12、其方法有很多,如相干检测法、包络检波法、鉴频器法、过零检测法、差分检测法等。(1)相干检测法 相干检测的具体解调电路是同步检波器,原理方框图如图3.3所示。图中两个带通滤波器的作用同于包络检波法,起分路作用。它们的输出分别与相应的同步相干载波相乘,再分别经低通滤波器滤掉二倍频信号,取出含基带数字信息的低频信号,抽样判决器在抽样脉冲到来时对两个低频信号的抽样值进行比较判决(判决规则同于包络检波法),即可还原出基带数字信号。图3 2FSK同步检测方框图(2)包络检波法包络检波法可视为由两路2ASK解调电路组成,如图3.4。这里,两个带通滤波器(带宽相同,皆为相应的2ASK信号带宽;中心频率不同,分

13、别为起分路作用,用以分开两路2ASK信号,上支路对应,下支路对应,经包络检测后分别取出它们的包络s(t)及;抽样判决器起比较器作用,把两路包络信号同时送到抽样判决器进行比较,从而判决输出基带数字信号。若上、下支路s(t)及的抽样值分别用表示,则抽样判决器的判决准则为 图4 2FSK信号包络检波方框图(3)鉴频法用普通鉴频法进行解调,将恢复成码元1,把S2(t)恢复成码元0,图5为普通鉴频法原理框图。图5 鉴频器法实现方框图在接收端FSK信号进入带通滤波器抑制掉干扰,经限幅器消除接收的信号在幅度上的畸变,解调器的关键部位是鉴频器他把两种不同频率的FSK信号变成两种不同的电压信号,然后送低通滤波器

14、滤除高频分量,从而得到基波的包络线,最后经判决器恢复出其基带动数字信号。如果传输的信号带宽很窄,是窄带数字通信,并且传输信号的信道噪声、干扰很小,信噪比较大,也即数字通信系统的工作环境比较干净,则可以考虑只使用一路鉴频解调电路来解调2FSK信号。由于2FSK信号只有两种频率成份,解调出信号,信号也随之被解调出来。也就是说,利用一路频率信号(如f1)还原成数字信息“0”,接收端由比较器在“0”码元之间自动补入“1”,这样即还原出了二进制基带数字信息,完成了2FSK信号的解调,这种解调方法相应于其他解调方法较为简单,同时减少了设备,为系统的集成提供了便利,但这种解调方法要求较高,它需要所应用的信道

15、十分干净,鉴频电路的输入信号要有很窄的带宽且鉴频曲线非常陡峭,2FSK信号的鉴频解调原理方框图如图所示:图6 2FSK信号鉴频解调原理框图(4)过零检测法过零检测法单位时间内信号经过零点的次数多少,可以用来衡量频率的高低。数字调频波的过零点数随不同载频而异,故检出过零点数可以得到关于频率的差异,这就是过零检测法的基本思想。过零检测法方框图及各点波形如图3.7。2FSK输入信号经放大限幅后产生矩形脉冲序列,经微分及全波整流形成与频率变化相应的尖脉冲序列,这个序列就代表着调频波的过零点。尖脉冲触发一宽脉冲发生器,变换成具有一定宽度的矩形波,该矩形波的直流分量便代表着信号的频率,脉冲越密,直流分量越

16、大,反映着输入信号的频率越高。经低通滤波器就可得到脉冲波的直流分量。这样就完成了频率幅度变换,从而再根据直流分量幅度上的区别还原出数字信号“1”和“0”。图7 过零检测法方框图及各点波形图(5)差分检测法差分检波法基于输入信号与其延迟的信号相比较,信道上的失真将同时影响相邻信号,故不影响最终鉴频结果。实践表明,当延迟失真为0时,这种方法的检测性能不如普通鉴频法,但当信道有较严重延迟失真时,其检测性能优于鉴频法。5 二进制频移键控信号的调制与解调的VHDL程序实现5.1 二进制移频键控信号调制的VHDL程序实现FSK的调制方法如图8所示:图8 2FSK信号调制的VHDL建模方框图在图中fsk调制

17、的核心部分是分频器、二选一选通开关等。上图中的两个分频器分别产生两路数字载波信号;二选一选通开关的作用是:以基带信号作为控制信号,当基带信号为“0”,选通载波;当基带信号为“1”时,选通载波。从选通开关输出的信号即为数字fsk信号。图9 FSK调制电路的VHDL建模符号图10 FSK调制的VHDL程序仿真图5.2 二进制移频键控信号解调的VHDL程序实现图11 2FSK信号解调的VHDL建模方框图在该图中核心部分由分频器、寄存器、计数器、判决器组成。由于和的周期不同,如果设=2,并且基带信号电平“1”,对应;基带信号电平“0”,对应;,则在图中计数器以为时钟信号,上升沿技术,基带信号“1”码元

18、对应的技术个数为,基带信号“0”码元对应的技术个数为。计数器根据两种不同的技术情况,对应输出“0”和“1”两种电平。判决器以为时钟信号,对计数器输出信号进行抽样判决,并输出基带信号。图12 FSK解调电路的VHDL建模符号图13 FSK解调电路的仿真图6 结论本设计是在EDA工具软件平台上,以硬件描述语言VHDL为系统逻辑描述手段来完成设计文件的。通过仿真图我们能够看出频移键控的调制与解调信号符合原理要求。为了更好地设计电路,我们在设计前应首先划分好功能模块,然后再分别进行编写,最后进行综合分析测试,进而达到要求的效果。参 考 文 献1.樊昌信.通信原理M.北京:国防工业出版社,2004:13

19、31452.候伯亨,顾新.VHDL硬件描述语言与数字逻辑电路设计M.西安:西安电子科技大学出版社,2003:11-32.3.曾繁泰.EDA工程概论M清华出版社,2002.4.金西.VHDL与复杂数字系统设计M.西安:西安电子科技大学出版社,2003.5.李景华,杜玉远.可编程逻辑器件与EDA技术M.沈阳:东北大学出版社,2000.6.张昌凡.可编程逻辑器件及VHDL设计技术M.广州:华南理工大学出版社,2001.7.曾繁泰,陈美金.VHDL程序设计M.北京:清华大学出版社,2001.8.潘松等.VHDL实用教程M.成都:电子科技大学出版社,2000.9.陈华鸿.移频键控(FSK)及其最新应用J

20、.现代计算机,2000(9):36-28.10.金风莲.VHDL语言在EDA仿真上的应用J.现代电子技术,2005,(197):115-122.11.李端,VHDL与数字电路设计J.电气开关,2005,(2):6-8.12. LIU Gao-ping,Implementation of FSK Modulator Using SOC Technology J. Research and Exploration in Laboratory,2006,(4):29-32.13. Zhang Xin. Communication Receiver Based on FSK ModulationJ.

21、ournal of Northwestern Polytechnical University.2007Modulation and Demodulation of 2FSK Based On EDA TechnologyWen Qiu YanAbstract:According to EDA various advantages,the paper mainly talks about the basic theory of modulation and demodulation of binary frequency shift keying in the digital communic

22、ation; it also introduces the functions and characteristics of the EDA technology and describes the fundamental features and programmatic structures of the language VHDL used in the system. And then the paper advances the specific methods and the simulated analyzing results of designing the modition

23、 and demodition of the binary frequency shift keying by using the VHDL language under the circumstance of applying the software MAX+PLUS.Key words:FSK modulation and demodulation;EDA technology ;VHDL附录:(1)2FSK调制信号的VHDL程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.

24、std_logic_unsigned.all;entity fsk_tiaozhi is port(clk : in std_logic; -系统时钟 start : in std_logic; -开始调制信号 x : in std_logic; -基带信号 y : out std_logic); -调制信号end fsk_tiaozhi;architecture behav of fsk_tiaozhi is signal q1: integer range 0 to 11; -载波信号f1的分频计数器 signal q2: integer range 0 to 3; -载波信号f2的分频计

25、数器 signal f1,f2: std_logic; -载波信号f1,f2begin process(clk) -此进程通过对系统时钟clk的分频,得到载波f1 begin if clkevent and clk=1 then if start=0 then q1=0; elsif q1=5 then f1=1;q1=q1+1; -改变q1后面的数字可以改变载波f1的占空比 elsif q1=11 then f1=0;q1=0; -改变q1后面的数字可以改变载波f2的频率 else f1=0;q1=q1+1; end if; end if; end process; process(clk)

26、 -此进程通过对系统时钟clk的分频,得到载波f2 begin if clkevent and clk=1 then if start=0 then q2=0; elsif q2=0 then f2=1;q2=q2+1; -改变q2后面的数字可以改变载波f2的占空比 elsif q2=1 then f2=0;q2=0; -改变q2后面的数字可以改变载波f2的频率 else f2=0;q2=q2+1; end if; end if; end process; process(clk,x) -此进程完成对基带信号的fsk调制 begin if clkevent and clk=1 then if x=0 then y=f1; -当输入的基带信号X0时,输出的调制信号YF1 else y=f2

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论