实验三83优先编码器和38线译码器讲解学习_第1页
实验三83优先编码器和38线译码器讲解学习_第2页
实验三83优先编码器和38线译码器讲解学习_第3页
实验三83优先编码器和38线译码器讲解学习_第4页
免费预览已结束,剩余1页可下载查看

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、实验三8-3优先编码器和3-8线译码器一、实验目的1、熟悉常用编码器,译码器的功能逻辑。2、熟悉VHDL的代码编写方法。3、掌握复杂译码器的设计方法。二、实验原理1、8-3线优先编码器的真值农。XIX2X3X4X5X6X7X8Y2Y1Y0XXXXXX0X000XXXXXXXXX00111XX000110XXX0111X011XX0X100X01111X10101111111111111XX1111012、逻辑衣达式:Y2=X4&X5&X6&X7Y1=(X2)&X4&X5| (X3)&X4&X5| (X6)|7X7);YO=Y (XI)&x2&X4&X6| (X3)&X4&X6| (X5)&X6

2、卜(X7);2、3-8线码器总体思路以EP2C5中的三个拨位开关,SW3, SW2,SW1为三个输入信号,可以代农8种 不同的状态,该译码器对这S种状态译码,并把所译码的结果在七段LED数码管上显示出来。三、实验连线1、将EP2C5适配板左下角的JTAG用十芯排线和万用下载区左下角的SOPC JTAG 口连接起来, 万用下载区右下角的电源开关拨到SOPC下载的-边2、请将JPLED1短路帽右插,JPLED的短路帽全部上插。3、请将JP103的短路帽全部插上。四、实验步骤及波形按照步骤三正确连线,参考实验二步骤,完成项目的建立,文件的命名,文件的编辑,语法检查, 引脚分配,编译,下载。8-3优先

3、编码器参考代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL:USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY encode ISPORT(XINA :IN STDLOGICTCTORQ DOWNTO 0);YO,Y1,Y2: OUT STD_LOGIC;OUTA : OUT STDLOGIC_TCTOR(7 DOWNTO 0);LEDW: OUT STD_LOGIC_VECTOR(2 DOWNTO 0);END encode;ARCHITECTURE ADO OF enc

4、ode ISSIGNALLED: STD_LOGIC_VECTOR(2 DOWNTO 0); SIGNAL XIN: STD_LOGIC_TCTOR(7 DOWNTO 0);BEGINXIN=XINA;LEDW OUTA OUTAv=x_x0005_B;WHEN=OUTA OUTA OUTAv=x_x0007_D:WHENx = OUTAv=x_x0007_;WHEN x80 = OUTA OLTTA LED LED LED LED LED LED LED LED=END CASE;END PROCESS;Y2=LED(2);Y1=LED(1);Y0=LED(0);END ADO;3-8译码器

5、参考代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY DECODE ISPORT(DATA_IN :1N STDLOGICJTCTORQ DOWNTO 0); LEDOUTDATA_OUT :OUT STD_LOGIC_TCTOR(7 DOWNTO 0);LEDW :OUT STD_LOGIC_VECTOR(2 DOWNTO 0);END DECODE;ARCHITECTURE ADO OF DECODE ISSIGNAL OUTA.D_OUT : STDLOGICJCTORQ DOW

6、NTO 0); BEGINLEDW=PROCESS (DATA_IN)VARIABLE DIN: STD_LOGIC_TCTOR(2 DOWNTO 0);BEGINTA_IN:DIN:=DALEDOUT=OUIA;DATA_OUT=D_OUT;CASE DIN ISwhenOUTAoutaoutaoutaoutaoutaouta outa OUTA D_OUT D_OUT D_OUT D_OUTD_OUT D_OUT D_OUTv=00000:WHEN OTHERS= D_OUT=XXXXXXXX: END CASE;END PROCESS;END ADO:五.实验仿真S-3编码器引脚锁定如图

7、:-IV4-HW rzJ PnSEiT3PM11*1Vuw.切Wil 如“.0oIf禅g:COJ : t3nw.ERMo1.Cb“CtTAl5VI11 JO旳(.:lwordTcWCtl c1n snriTi图52仿真波形如图:3-8译码器引脚锁定如图:图53 波形如图:.图5-4六、实验现象调试ok的EP2C5文件在文件夹decode中,可以直接调用。(1) 83优先编码器以开关SW1,SW2,SW3,SW4,SW5,SW6,SW7,SW8作为8-3优先编码器的八路输入信号对应 XLX2,X3.X4.X5.X6.X7,X8,以D103Q102.D101为输出信号.对应真值农,当结果为0时彩色 LED灯熄灭,当结果1时彩灯点壳,LED1将显示相应的编码开关的序号。调试ok的EP2C5文件在文件夹encode中,可以直接调用。(2) 3-8译码器38线译码器的三个输入C、B、A分别

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

最新文档

评论

0/150

提交评论