[论文]数字通信收发信机设计与仿真实验报告_第1页
[论文]数字通信收发信机设计与仿真实验报告_第2页
[论文]数字通信收发信机设计与仿真实验报告_第3页
[论文]数字通信收发信机设计与仿真实验报告_第4页
[论文]数字通信收发信机设计与仿真实验报告_第5页
已阅读5页,还剩6页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、数字通信收发信机设计与仿真实验报告课程名称:数字通信收发信机设计与仿真设计题目: 无线对讲系统 院 系: 电子与信息工程学院 班 级: 设 计 者: 学 号: 指导教师: 设计时间: 2010年5月1日 1.设计目的1、通过本设计,复习所学的知识,使课堂学习的理论知识应用于实践, 2、本课程设计要求学生独立或分组完成设计任务,以锻炼学生的独立设计能力和协作能力。 3、通过通信系统综合的课程设计,掌握设计通信系统的思维方法掌握基本开发过程。2.设计要求设计一无线对讲系统,并对其实施噪声调幅干扰。3.设计原理 1pcm系统工作原理脉冲编码调制是把模拟信号数字化传输的基本方法之一,它通过抽样、量化和

2、编码,把一个时间连续、取值连续的模拟信号变换成时间离散、取值离散的数字信号,然后在信道中进行传输。接收机将收到的数字信号经再生、译码、平滑后恢复出原始的模拟信号。pcm系统的组成如图1所示。图1 pcm原理框图话音信号先经过防混叠低通滤波器,得到限带信号(300hz3400hz),进行脉冲抽样,变成8khz重复频率的抽样信号(即离散的脉冲调幅pam信号),然后将幅度连续的pam信号用“四舍五入”办法量化为有限个幅度取值的信号,再经编码,转换成二进制码。电话规定抽样率为8khz,每抽样值编8位码,即共有28=256个量化值,因而每话路pcm编码后的标准数码率是64kb/s。为解决共有均匀量化时小

3、信号量化误差大、音质差的问题,在实际中采用不均匀选取量化间隔的非线性量化方法,即量化特性在小信号时分层密、量化间隔小,而在大信号时分层疏、量化间隔大。2单片pcm编译码器tp3067介绍本实验系统选择了tp3067芯片作为pcm编译码器,它把编译码器(codec)和滤波器(filter)集成在一个芯片上,功能比较强,它既可以进行a律变换,也可以进行u律变换,它的数据既可用固定速率传送,也可用变速率传送,它既可以传输信令帧也可以选择它传送无信令帧,并且还可以控制它处于低功耗备用状态,到底使用它的什么功能可由用户通过一些控制来选择。tp3067可以组成模拟用户线与程控交换设备间的接口,包含有话音a

4、律编解码器。自调零逻辑。话音输入放大器、rc滤波器、开关电容低通滤波器、话音推挽功放等功能单元。tp3067具有完整的话音到pcm和pcm到话音的a律压扩编解码功能。它的编码和解码工作既可同时进行,也可异步进行。它的内部结构方框图见图2,外部引脚排列见图3,引脚说明见表1。它的外部接口可分两部分:一部分是模拟接口电路,它与编译码器中的filter发生联系,这一部分可控制模拟信号的放大倍数,另一部分是与处理系统和交换网络的数字接口,它与编译码器中的codec发生联系,通过这些数字接口线来实现对编译码器的控制。图2 tp3067内部结构框图图图3 tp3067管脚排列图表1:引脚说明引脚号符 号功

5、 能1vpo+接收功率放大器非倒相输出2gnda模拟地3vpo-接收功率放大器倒相输出4vpi接收功率放大器倒相输入5vfro接收滤波器的模拟输出6vcc正电源引脚,vcc=+5v57fsr接收的帧同步脉冲,它启动bclkr, 于是pcm数据移入dr,fsr为8khz脉冲序列。8dr接收帧数据输入,pcm数据随着fsr前沿移入dr。9bclkrclksel在fsr的前沿后把数据移入dr的位时钟,其频率可从64khz到2.048mhz。另一方面它也可能是一个逻辑输入,以此为在同步模式中的主时钟选择频率1.536mhz/1.544mhz或2.048mhz。bclkr 用在发送和接收两个方向。10m

6、clkr/pdn接收主时钟。其频率可以为1.536mhz、1.544mhz或2.048mhz。它允许与mclkx异步,但为了获得最佳性能应当与mclkx同步,当mclkr连续联在低电位时,mclkx被选用为所有内部定时,当mclkr连续工作在高电位时,器件就处于掉电模式。11mclkx发送主时钟,其频率可以是1.536mhz,1.544mhz或2.048mhz,它允许与mclkr异步,同步工作能实现最佳性能。12bclkx把pcm数据从dx上移出的位时钟,其频率可从64khz变至2.048mhz,但必须与mclkx同步。13dx由fsx启动的三态pcm数据输出14fsx发送帧同步脉冲输入,它启

7、动bclkx,并使dx上pcm数据移出。15tsx开漏输出,在编码器时隙内为低电平脉冲。16anlb模拟环回路控制输入,在正常工作时必须置为逻辑“0”,当拉到逻辑“1”时,发送滤波器和发送前置放大器输出的连接线被断开,而改为和接收功率放大器的vpo+输出连接。17gsx发送输入放大器的模拟输出。用来在外部调节增益。18vfxi-发送输入放大器的倒相输入。19vfxi+发送输入放大器的非倒相输入。20vbb负电源引脚,vbb=-5v53系统工作原理在本实验中选择进行a律变换,以2.048mbit/s来传送信息,信息帧为无信令帧,它的发送时序与接收时序直接受fsx和fsr控制。系统上电:当开始上电

8、瞬间,加压复位电路启动combo并使它处于掉电状态,所有非主要电路都失效,而dx、vfro、vpo-、vpo+均处于高阻抗状态。为了使器件上电,一个逻辑低电平或时钟脉冲必须作用在mclkr/pdn引脚上,并且fsx和fsr脉冲必须存在。于是有两种掉电控制模式可以利用。在第一种中mclkr/pdn引脚电位被拉高。在另一种模式中使fsx和fsr二者的输入均连续保持低电平,在最后一个fsx或fsr脉冲之后相隔2ms左右,器件将进入掉电状态,一旦第一个fsx和fsr脉冲出现,上电就会发生。三态数据输出将停留在高阻抗状态中,一直到第二个fsx脉冲出现。 系统时序:短帧同步工作:combo既可以用短帧,也

9、可以用长帧同步脉冲。在加电开始时,器件采用短帧模式,在这种模式中,fsx和fsr这两个帧同步脉冲的长度均为一个位时钟周期。在bclkx的下降沿当fsx为高时,bclkx的下一个上升沿可启动输出符号位的三态输出dx的缓冲器,紧随其后的7个上升沿以时钟送出剩余的7个位,而下一个下降沿则阻止dx输出。在bclkr的下降沿当fsr为高时(bclkx在同步模式),其下一个下降沿将锁住符号位,跟随其后的7个下降沿锁住剩余的7个保留位。长帧同步工作:为了应用长帧模式,fsx和fsr这两个帧同步脉冲的长度应等于或大于位时钟周期的三倍。在64khz工作状态中,帧同步脉冲至少要在160ns内保持低电位。随着fsx

10、或bclkx的上升沿(无论哪一个先到)来到,dx三态输出缓冲器启动,于是被时钟移出的第一比特为符号位,以后到来的bclkx的7个上升沿以时钟移出剩余的7位码。随着第8个上升沿或fsx变低(无论哪一个后发生),dx输出由bclkx的下降沿来阻塞,在以后8个bclkr的下降沿(bclkr),接收帧同步脉冲fsr的上升沿将锁住dr的pcm数据。编译码器的工作是由时序电路控制的。在编码电路中进行取样、量化、编码,译码电路经过译码低通、放大后输出模拟信号,把这两部分集成在一个芯片上就是一个单路编译码器。单路编译码器变换后的8位pcm码字是在一个时隙中被发送出去,这个时序号是由a/d控制电路来决定的,而在

11、其它时隙时编码器是没有输出的。同样在一个pcm帧里,它的译码电路也只能在一个由它自己的时序里,从外部接收8位pcm码。单路编译码器的发送时序和接收时序可由外部电路来控制。只要向a/d控制电路或d/a控制电路发某种命令即可控制单路编译码器的发送时序和接收时序号,从而也可以达到总线交换的目的。不同的单路编译码器对其发送时序和接收时序的控制方式都有所不同,有些编译码器有二种方式,一种是编程法,即给它内部的控制电路输进一个控制字,分配其时隙;另一种是直接控制,这时它有两个控制端,我们定义为fsx和fsr,它们是周期性的,并且它的周期和多路pcm的帧周期相同,为125s,这样,每来一个fsx,编译码器就

12、输出一个pcm码字,每来一个fsr,编译码器就从外部输入一个pcm码字。编译码器一般都有一个pdn降功耗控制端,pdn=l时,编译码能正常工作,pdn=0时,编译码器处于低功耗状态,这时编译码器其它功能都不起作用,我们在设计时,可以接muc等控制芯片以实现对编译码器的降功耗控制。考虑到系统时钟频率较高,本系统利用vhdl设计pcm编码芯片的控制,生成时钟信号,发送时添加帧同步码,解码时检测帧同步码。以控制编解码的时序实现编解码功能。本系统中所有的时隙都是从频率为8.102mhz的外部时钟信号频后得到2.048mhz的码同步时钟,再经分频分相后得到8khz的帧同步时钟。帧同步码的添加是在时钟信号

13、控制下输出帧同步码的时隙中对预置帧同步编码逐位输出实现的。帧同步信号的提取是用在时钟信号控制下信号通过移位寄存器构成的并/串转换电路的输出信号与与置信号比较而实现的,帧同步信号的频率为位同步信号的256分之一。拨码开关sw1, sw1可分别设置编解码时帧同步码的码型。为了提高系统的抗干扰能力减小误解码率,可以增加帧同步码的位数。这里只是为了说明原理所以选择8位。pcm系统的完整电路如图4所示。图中话筒放大电路和输出功放电路见图5和图6。图4 pcm系统 编解码,时序控制电路图图5 话筒放大电路图中r1应为驻极体话筒,为了仿真需要以近似阻值的电阻代替。仿真波形和幅频特性见电路分析部分。驻极体话筒

14、工作电压uds 1.512v,常用的有1.5v,3v,4.5v三种,工作电流ids 0.11ma之间输出阻抗一般小于2k(欧姆)这里用1k电阻代替。原理部分已经提到,pcm编译码器允许输入信号的最大幅度为4.36v,这是正弦输入信号编码不过载的最大幅度。当输入信号大于临界过载幅度之后,输出信号的s/n急剧下降。为了确保器件的安全使,建议取输入信号的最大幅度为2.5v(峰峰值)。可以调解可变电阻器改变放大电路增益,使信号要由小至大调节,测出此时的s/n值。选择出最佳编码电平。图6输出功放电路4.控制电路vhdl 源文件-pcm编解码芯片tp3067控制-library ieee;use ieee

15、.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity pcm_con is port ( clk : in std_logic; -8.192mhz时钟输入-cp_out : out std_logic; -2.045mhz时钟输出- pcm_in : in std_logic; -pcm波输入 接收通道- pcm_out : out std_logic; -pcm波输出 发送同道- to_dx : in std_logic; -接3067 编码输出端- to_dr : o

16、ut std_logic; -接3067 解码输入端- incode : out std_logic; -8khz编码帧同步信号- decode : out std_logic; -8khz解码帧同步信号- incode_en : in std_logic; -编码允许信号- decode_en : in std_logic; -解码允许信号- code_in : in std_logic_vector(7 downto 0); -设定编码帧同步码- code_de : in std_logic_vector(7 downto 0); -设定解码帧同步码- -为进一步避免系统误解码,提高抗干扰

17、能力可以增加帧同步码位数-end pcm_con;architecture behavioral of pcm_con issignal clk_sys : std_logic; -系统内部时钟信号-signal sreg: std_logic_vector(7 downto 0); -8位移位寄存器-begin待添加的隐藏文字内容1cp_out = clk_sys; -2.045mhz时钟信号输出-process (clk) -2.045mhz系统时钟进程- variable tem : integer range 0 to 1;beginif (clkevent and clk = 1)

18、thenif tem =1 thentem := 0;elseclk_sys = not clk_sys;tem := tem + 1;end if; end if;end process; -时钟信号= 1/4 外部时钟-process (clk_sys) -编码进程-variable tim : integer range 0 to 255; -编码帧同步时钟参量- begin if (clk_sysevent and clk_sys = 1) then if(incode_en = 1) then if (tim =255)then tim:=0; else tim := tim + 1

19、; end if; end if; -帧同步时钟 = 系统时钟/256-if (incode_en = 1) then -编码允许信号控制- if (tim=0) then incode = 1; - -编码同步脉冲输出- else - incode = 0; -生成8khz编码同步脉冲- end if; -/ end if; -/end if;if (tim=0) then -根据内部时钟参量 生成串行的帧同步码-pcm_out = code_in(7); -elsif (tim=1) then -pcm_out = code_in(6); -elsif (tim=2) then -pcm_

20、out = code_in(5); -elsif (tim=3) then -pcm_out = code_in(4); -elsif (tim=4) then -pcm_out = code_in(3); -elsif (tim=5) then -pcm_out = code_in(2); -elsif (tim=6) then -pcm_out = code_in(1); -elsif (tim=7) then -pcm_out 7 and tim16) then pcm_out = to_dx; -根据内部时钟参量 发送八位pcm码-elsepcm_out = z; - 其他时隙输出为高

21、阻状态(可能会应响调制)-end if; end process;process (clk_sys) -解码进程-variable cnt : integer range 0 to 8; -解码时序参量-beginif (clk_sysevent and clk_sys=1) thenif decode_en =1 then -解码允许信号控制-sreg(7 downto 1)=sreg(6 downto 0); -移位寄存器描述-sreg(0)=pcm_in; -通过移位寄存器把串行pcm码转换成并行码-end if; if (cnt8) then cnt:=cnt + 1; -解码时序参量

22、自增-else -只输出8位防止信道噪声被误解码-cnt := 8; -输出8位pcm码后解码时序停止-end if;if (sreg = code_de) then -检测帧同步码-decode = 1; -检测到帧同步码时输出帧同步脉冲-cnt:=0; else decode 7) then to_dr = z; -解码时序到来前输出高阻-elseto_dr = pcm_in; -在解码时序中输出pcm波到解码芯片-end if; end process;end behavioral;5.各部分仿真图形图7 话筒放大电路 仿真波形图8 话筒放大电路 幅频特性曲线图9 输出功放电路 仿真波形图10 输出功放电路 仿真波形从仿真波形看,模拟电路部分原理正确,波形

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论