QUARTUS 8.0 LPM模块功能介绍中文版_第1页
QUARTUS 8.0 LPM模块功能介绍中文版_第2页
QUARTUS 8.0 LPM模块功能介绍中文版_第3页
QUARTUS 8.0 LPM模块功能介绍中文版_第4页
已阅读5页,还剩10页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、QUARTUS 8.0 LPM模块功能介绍中文版The Quartus II software offers a variety of megafunctions,including the library of parameterized modules (LPM)functions and other parameterized functions.Megafunctions are listed below by function. Functions indicated by an asterisk(*)are provided for backward compatibility o

2、nly.Arithmetic MegaWizards and Megafunctions:Arithmetic components include accumulators,adders,multipliers,and LPM arithmetic functions.MegaWizard Megafunction(s)CommentsALTACCUMULA TE altaccumulateParameterized accumulator megafunction.参数化的寄存器模块ALTECC altecc_decoderError correction code(ECC)megafun

3、ction.数据代码纠正模块altecc_encoderError correction code(ECC)megafunction.数据代码纠正模块ALTFP_ADD_SU B altfp_add_subFloating-point adder/subtractor megafunction.浮点、加法器/减法器模块ALTFP_COMPA RE altfp_compareParameterized floating-point comparator megafunction.参数化的浮点比较器模块ALTFP_CONVE RT altfp_convertParameterized floati

4、ng-point conversion megafunction.参数化的浮点转换器模块ALTFP_DIV altfp_div Parameterized floating-point divider megafunction.参数化的浮点分配器模块ALTFP_MULT altfp_mult Parameterized floating-point multiplier megafunction.参数化的浮点乘法器模块ALTFP_SQRT altfp_sqrt Parameterized floating-point square root megafunction.参数化的浮点平方根模块AL

5、TMEMMULT altmemmultParameterized memory multiplier megafunction.数化的记忆乘法器模块ALTMULT_ACCU M(MAC)altmult_accumParameterized multiply-accumulate megafunction.参数化的乘-累积模块ALTMULT_ADD altmult_addParameterized multiplier/adder megafunction.参数化的乘法器/加法器模块ALMULT_COMP LEX altmult_complexParameterized complex mult

6、iplier megafunction.参数化的综合乘法器模块ALTSQRT altsqrt Parameterized integer square root megafunction.参数化的整数平方根模块LPM_ABS lpm_abs Parameterized absolute value megafunction.参数化的绝对值模块LPM_ADD_SUB lpm_add_subParameterized adder/subtractor megafunction.参数化的加法器/减法器模块LPM_COMPARE lpm_compareParameterized comparator

7、megafunction.参数化的比较器模块LPM_COUNTER lpm_counterParameterized counter megafunction.参数化的计数器模块LPM_DIVIDE lpm_divide Parameterized divider megafunction.参数化的分配器模块divide*Parameterized divider megafunction.参数化的分配器模块Use the divide megafunction with mature device families.For newer device families,use the lpm_

8、divide megafunction.LPM_MULT lpm_multParameterized multiplier megafunction.参数化的乘法器模块altsquareParameterized squarer megafunction.参数化的squarer模块PARALLEL_ADD parallel_addParallel adder megafunction.参数化的并联加法器模块Gates MegaWizards and Megafunctions:Gates include multiplexers and LPM gate functions.MegaWizar

9、d Megafunction(s)CommentsLPM_AND lpm_and Parameterized AND gate megafunction.参数化的与门模块LPM_BUSTRI lpm_bustri Parameterized tri-state buffer megafunction.参数化的三态缓冲器模块LPM_CLSHIFT lpm_clshift Parameterized combinational logic shifter or barrel shifter megafunction.参数化的组合逻辑转换模块LPM_CONSTANT lpm_constant Par

10、ameterized constant generator megafunction.参数化的常数发生器模块LPM_DECODE lpm_decode Decoder megafunction.参数化的解码器模块LPM_INV lpm_inv Parameterized inverter megafunction.参数化的反相器模块LPM_MUX lpm_mux Parameterized multiplexer megafunctions.参数化的多路转换器模块LPM_OR lpm_or Parameterized OR gate megafunction.参数化的或门模块LPM_XOR l

11、pm_xor Parameterized XOR gate megafunction.参数化的异或门模块I/O MegaWizards and Megafunctions:I/O components include Clock Data Recovery(CDR),phase locked loop(PLL),double data rate(DDR), gigabit transceiver block(GXB),LVDS receiver,LVDS transmitter,PLL reconfiguration,and remote update megafunctions.MegaWi

12、zard Megafunction(s)CommentsALT2GXB alt2gxb GXB megafunction.千兆位收发器ALT2GXB_RECONFI alt2gxb_reconfig GXB dynamic reconfiguration megafunction.G千兆位动态配置收发器ALTASMI_PARALLEL altasmi_parallel Active serial memory interface parallel megafunction.并联接口的串行存储器ALTCLKCTRL altclkctrl Clock control block megafunct

13、ion.时钟控制模块ALTCLKLOCK altclklock Parameterized PLL megafunction.参数化的锁相环模块ALTDDIO_BIDIR altddio_bidir DDR bidirectional megafunction.双倍数双向模块ALTDDIO_IN altddio_in DDR input megafunction.双倍数输入模块ALTDDIO_OUT altddio_out DDR output megafunction.双倍数输出模块ALTDLL altdll Delay locked loop(DDL)megafunction.锁相环模块A

14、LTDQ altdq Data strobe megafunction.数据滤波模块ATLDQS altdqs Parameterized bidirectional data strobe megafunction.参数化的双向数据滤波器atldq_dqs Parameterized data strobe megafunction.参数化的数据滤波器ALTGX alt4gxb High-Speed Serial Interface(HSSI) GXBmegafunction.高速串行千兆收发器ALTGXB altgxb GXB megafunction.千兆位收发器ALTIOBUF alt

15、iobuf_bidirBidirectional I/O buffer megafunction.双向I/O缓冲模块altiobuf_inInput I/O buffer megafunction.输入缓冲模块altiobuf_outOutput I/O buffer megafunction.输出缓冲模块ALTLVDS altlvds_rxLow voltage differential signalling(LVDS)receiver megafunction.低电压差分信号接收器altlvds_txLow voltage differential signalling(LVDS)tran

16、smitter megafunction.低电压差分信号发送器ALTMEMPHY ALTMEMPHY External DDR Memory PHY interface megafunction.PHY接口的外部的DDR存储器ALTOCT alt_oct On-chip termination(OCT)megafunction.片上终端模块ALTPLL altpll Parameterized PLL megafunction.参数化的锁相环模块ALTPLL_RECONFIG altpll_reconfig Parameterized PLL reconfiguration megafunct

17、ion.参数化可重配置的锁相环模块ALTREMOTE_UPDAT E altremote_updateParameterized remote update megafunction.参数化的远程更新模块altstratixii_octParameterized OCT megafunction.参数化的片上终端模块MAX II oscillator altufm_osc Oscillator megafunction.震荡器模块JTAG-accessible Extensions MegaWizards and Megafunctions: MegaWizard Megafunction(s

18、)CommentsIn-System Sources and Probes altsource_probeIn-system debugging megafunction.在系统调试模块Parallel Flash Loader altparallel_flash_loader Parallel flash loader(PFL)megafunction.并行的快速载入模块Serial Flash Loader altserial_flash_loader Serial flash loader megafunction.串行的快速载入模块SignalTap II Logic Analyzer

19、 sld_signaltapSignalTap II Logic Analyzer megafunction.SignalTap II逻辑分析模块Virtual JTAG sld_virtual_jtag Virtual JTAG Interface(VJI)megafunction.虚拟的JTAG接口模块Memory Compiler MegaWizards and Megafunctions:Memory Compiler includes FIFO Partitioner,RAM,ROM,and memory functions. MegaWizard Megafunction(s)Co

20、mmentsRam initializer altmem_init RAM initialization from ROM megafunction. ROM中载入数据初始化RAMCAM altcam Content-addressable memory(CAM)megafunction.可设地址的存储器模块FIFO dcfifoParameterized dual-clock FIFO megafunction.参数化的双时钟先入先出模块dcfifo_mixed_widthsParameterized dual-clock mixed-widths FIFOmegafunction.参数化的

21、双时钟混合宽度的先入先出模块scfifoParameterized single-clock FIFO megafunction.参数化的单时钟先入先出模块FIFO partitioner altcsmem FIFO partitioner megafunction.先入先出区分模块Flash Memory altufm_i2cUser flash memory megafunction with theinter-integrated circuit(I2C)interface protocol.IIC接口的闪存模块altufm_none User flash memory megafunc

22、tion(no interface protocol).无接口协议的闪存模块altufm_parallel User flash memory megafunction with the parallel interface protocol.并行接口的闪存模块altufm_spi User flash memory megafunction with the serial peripheral interface(SPI)protocol.SPI接口的闪存模块RAM:1-PORT altdpram*Parameterized dual-port RAM megafunction.参数化的双端

23、口RAM模块altram*Parameterized RAM megafunction.参数化的RAM模块altsyncramParameterized true dual-port RAM megafunction.参数化的真实双端口RAM模块RAM:2-PORT altdpram*Parameterized dual-port RAM megafunction.参数化的双端口RAM模块altsyncramParameterized true dual-port RAM megafunction.参数化的真实双端口RAM模块lpm_ram_dq*Parameterized RAM with

24、separate input and outputports megafunction.参数化的输入/输出接口分开的RAM模块RAM:3-PORT alt3pram Parameterized triple-port RAM megafunction.参数化的三倍端口RAM模块ROM:1-PORT altrom*Parameterized ROM megafunction.参数化的ROM模块altsyncramParameterized true dual-port synchronous RAMmegafunction.参数化的真实双端口同步RAM模块lpm_rom*Parameterize

25、d ROM megafunction.参数化的ROM模块ROM:2-PORT altsyncram Parameterized true dual-port synchronous RAM megafunction.参数化的真实双端口同步RAM模块Shiftregister(RAM-bas ed)altshift_tapsParameterized shift register with taps megafunction.参数化的移位寄存器模块Storage MegaWizards and Megafunctions:Storage includes LPM memory functions,parameterized flipflop,parameterized latch,and parameterized shift register functions.MegaWizard Megafunction(s)CommentsALTCAM altcam Con

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论