电信综合电子设计报告模板_第1页
电信综合电子设计报告模板_第2页
电信综合电子设计报告模板_第3页
电信综合电子设计报告模板_第4页
电信综合电子设计报告模板_第5页
已阅读5页,还剩8页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、 综合电子设计报告 院系:电子工程系班级:10电子信息2班姓名:刘耀瑞同组:沙雨轩学号:东南大学成贤学院2013.9目录第一章 课题与功能描述1 1.1 课题内容 11.2 课题背景 1第二章 系统硬件工作原理1第三章 系统软件设计与调试1第四章 总 结1参考文献1附 录1第一章 课题与功能描述1.1 课题内容1. 用Max+Plus II,通过ROM查表法实现对方波信号的DDS合成。2. 用Max+Plus II,通过ROM查表法实现对ASK调制信号的DDS合成。3. 用Max+Plus II,通过ROM查表法实现对PSK调制信号的DDS合成。4. 用Max+Plus II,通过ROM查表法

2、实现对FSK调制信号的DDS合成。1.2 课题背景DDS同 DSP(数字信号处理)一样,是一项关键的数字化技术。DDS是直接数字式频率合成器(Direct Digital Synthesizer)的英文缩写。DDS是一种全数字化的频率合成器,由相位累加器、波形ROM、D/A转换器和低通滤波器构成。时钟频率给定后,输出信号的频率取决于频率控制字,频率分辨率取决于累加器位数,相位分辨率取决于ROM的地址线位数,幅度量化噪声取决于ROM的数据位字长和D/A转换器位数。与传统的频率合成器相比,DDS具有低成本、低功耗、高分辨率和快速转换时间等优点,广泛使用在电信与电子仪器领域,是实现设备全数字化的一个

3、关键技术。直接数字频率合成(DDSDigital Direct Frequency Synthesis)技术是一种新的频率合成方法,是频率合成技术的一次革命,JOSEPH TIERNEY等3人于1971年提出了直接数字频率合成的思想,但由于受当时微电子技术和数字信号处理技术的限制,DDS技术没有受到足够重视,随着电子工程领域的实际需要以及数字集成电路和微电子技术的发展,DDS技术日益显露出它的优越性。DDS有如下优点:(1) 频率分辨率高,输出频点多,可达 个频点(N为相位累加器位数);(2) 频率切换速度快,可达us量级;(3) 频率切换时相位连续;(4) 可以输出宽带正交信号;(5) 输出

4、相位噪声低,对参考频率源的相位噪声有改善作用;可以产生任意波形; 全数字化实现,便于集成,体积小,重量轻。在各行各业的测试应用中,信号源扮演着极为重要的作用。但信号源具有许多不同的类型,不同类型的信号源在功能和特性上各不相同,分别适用于许多不同的应用。目前,最常见的信号源类型包括任意波形发生器,函数发生器,RF信号源,以及基本的模拟输出模块。信号源中采用DDS技术在当前的测试测量行业已经逐渐称为一种主流的做法。1.3 课程目的通过实验巩固AT89S51/AT89S52单片机程序设计、VHDL语言以及EDA技术。能够使用单片机实现数码管的显示,并熟练掌握用VHDL语言对FPGA编程实现方波信号和

5、ASK、PSK、FSK等调制信号的DDS频率合成。提高对以前学过的单片机、通信原理、EDA技术等科目的理论知识的综合工程应用能力。第二章 调制原理2.1 DDS频率合成原理DDS(Direct Digital Synthesizer)即直接数字合成器,是一种新型的频率合成技术,具有较高的频率分辨率,可以实现快速的频率切换,并且在改变频率的同时能够保持相位的连续,很容易实现频率、相位和幅值的数控调制。因此,在现代电子系统及设备的频率源设计中,尤其在通信领域,DDS应用越来越广泛。对于正弦信号发生器。首先对DDS基本原理作一简单介绍。对于正弦信号发生器,它的输出可表示为其中,指该信号发生器的输出信

6、号波形,指输出信号对应的频率。对于时间是连续的。为了用数字逻辑实现该表达式,必须进行离散化处理。用基准时钟 CLK 进行抽样,令正弦信号的相位为在一个 CLK 周期,相位的变化量为其中,指 CLK 的频率,对于可以理解成“满”相位。为了对进行数字量化,把切割成份,由此每个 CLK 周期的相位增量用量化值来表述,即且为整数。由此可得即显然,信号发生器的输出可描述为其中,指前一个 CLK 周期的相位值,同样也可得出通过推导可以看出,只要对相位的量化值进行简单的累加运算,就可以得到正弦信号的当前相位值;而用于累加的相位增量量化值,决定了信号的输出频率,并呈现简单的线性关系。直接数字合成器DDS就是根

7、据上述原理而设计的数字控制频率合成器。2.2 ASK调制原理振幅键控是利用载波的幅度变化来传递数字信息,而其频率和初始相位保持不变。在2ASK中,载波的幅度只有两种变化状态,分别对应二进制信息的“0”或“1”。一种常用的、也是最简单的二进制振幅键控方式称为通-断键控(On Off Keying, OOK),其表达式为发送“1”时发送“0”时在OOK中,某一种符号(“0”或“1”)用没有电压来表示。2ASK信号的一般表达式为其中式中:为马原持续的时间;为持续时间为的基带脉冲波形。2ASK/OOK信号的产生方法通常有两种:模拟调制法(相乘器法)和键控法。2.3 PSK调制原理相移键控是利用载波的相

8、位变化来传递数字信息,而振幅和频率保持不变。在2PSK中,通常用初始相位0和分别表示二进制“1”和“0”。因此,2PSK信号的时域表达式为发送“0”时发送“1”时其中,表示第n个符号的绝对相位:发送“0”时发送“1”时因此由于表示信号的两种码元的波形相同,极性相反,故2PSK信号一般可以表述为一个双极性全占空矩形脉冲序列与一个正弦载波的相乘,即其中 2.4 FSK调制原理频移键控是利用载波的频率变化来传递数字信息。在2FSK中,载波的频率随二进制基带信号在和两个频率点间变化。故其表达式为发送“0”时发送“1”时一个2FSK信号可以看成是两个不同载频的2ASK信号的叠加。因此,2FSK信号的时域

9、表达式又可以写成和分别是第n个信号码元(1或0)的初始相位。第三章 系统软件设计与调试第四章 软件设计4.1 用DDS实现方波信号的合成本实验用三个VHDL代码模块即可实现,其主要代码如下:方波分频器如下:LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_Arith.ALL;USE IEEE.STD_LOGIC_Unsigned.ALL;ENTITY div IS GENERIC(N:Integer:=3);Port( Clk:IN STD_LOGIC; q:OUT STD_LOGIC);END ENTITY;ARCHI

10、TECTURE one OF div ISSIGNAL x: STD_LOGIC; BEGINPROCESS(Clk)VARIABLE cnt:INTEGER RANGE 0 TO 160;BEGIN IF clkevent and clk=1 THEN IF cnt160 THENcnt:=cnt+1;ELSE cnt:=0; x=not x;END IF;END IF;q=x;END PROCESS;END one;64位地址信号累加器:LIBRARY IEEE;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;enti

11、ty counter isport( clk : in std_logic; cnt_out : out std_logic_vector(5 downto 0);end;architecture one of counter isbegin process(clk) variable cnt : std_logic_vector(5 downto 0); begin if clk event and clk=1 then cnt := cnt+1; end if; cnt_out = cnt; end process;end one;方波数据如下:WIDTH = 8;DEPTH = 64;A

12、DDRESS_RADIX = HEX;DATA_RADIX = DEC;CONTENT BEGIN00 : 0;01 : 0;02 : 0;03 : 0;04 : 0;05 : 0;06 : 0;07 : 0;08 : 0;09 : 0;0A : 0;0B : 0;0C : 0;0D : 0;0E : 0;0F : 0;10 : 0;11 : 0;12 : 0;13 : 0;14 : 0;15 : 0;16 : 0;17 : 0;18 : 127;19 : 127;1A : 127;1B : 127;1C : 127;1D : 127;1E : 127;1F : 127;20 : 0;21 : 0;22 : 0;23 : 0;24 : 0;25 : 0;26 : 0;27 : 0;28 : 127;29 : 127;2A : 127;2B : 127;2C : 127;2D : 127;2E : 127;2F : 127;30 : 0;31 : 0;32 : 0;33 : 0;34 : 0;35 : 0;36 : 0;37 : 0;38 : 127;39

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论