毕业设计(论文)外文资料翻译〔含原文〕_第1页
毕业设计(论文)外文资料翻译〔含原文〕_第2页
毕业设计(论文)外文资料翻译〔含原文〕_第3页
毕业设计(论文)外文资料翻译〔含原文〕_第4页
毕业设计(论文)外文资料翻译〔含原文〕_第5页
已阅读5页,还剩3页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、. 南 京 理 工 大 学毕业设计(论文)外文资料翻译教 学 点: 南京信息职业技术学院 专 业: 电子信息工程 姓 名: 陈洁 学 号: 014910253034 (用外文写)外文出处: Pci System Architecture 附 件: 1.外文资料翻译译文;2.外文原文。 指导教师评语:该生外文翻译没有基本的语法错误,用词准确,没有重要误译,忠实原文;译文通顺,条理清楚,数量与质量上达到了本科水平。 签名: 年 月 日注:请将该封面与附件装订成册。附件1:外文资料翻译译文64位PCI扩展1. 64位数据传送和64位寻址:独立的能力PCI规范给出了允许64位总线主设备与64位目标实现

2、64位数据传送的机理。在传送的开始,如果回应目标是一个64位或32位设备,64位总线设备会自动识别。如果它是64位设备,达到8个字节(一个4字)可以在每个数据段中传送。假定是一串0等待状态数据段。在33MHz总线速率上可以每秒264兆字节获取(8字节传送33百万传送字秒),在66MHz总线上可以528M字节秒获取。如果回应目标是32位设备,总线主设备会自动识别并且在下部4位数据通道上(AD31:00)引导,所以数据指向或来自目标。规范也定义了64位存储器寻址功能。此功能只用于寻址驻留在4GB地址边界以上的存储器目标。32位和64位总线主设备都可以实现64位寻址。此外,对64位寻址反映的存储器目

3、标(驻留在4GB地址边界上)可以看作32位或64位目标来实现。注意64位寻址和64位数据传送功能是两种特性,各自独立并且严格区分开来是非常重要的。一个设备可以支持一种、另一种、都支持或都不支持。2. 64位扩展信号为了支持64位数据传送功能,PCI总线另有39个引脚。l REQ64#被64位总线主设备有效表明它想执行64位数据传送操作。REQ64#与FRAME#信号具有相同的时序和间隔。REQ64#信号必须由系统主板上的上拉电阻来支持。当32位总线主设备进行传送时,REQ64#不能又漂移。l ACK64#被目标有效以回应被主设备有效的REQ64#(如果目标支持64位数据传送),ACK64#与D

4、EVSEL#具有相同的时序和间隔(但是直到REQ64#被主设备有效,ACK64#才可被有效)。像REQ64#一样,ACK64#信号线也必须由系统主板上的上拉电阻来支持。当32位设备是传送目标时,ACK64#不能漂移。l AD64:32包含上部4位地址数据通道。l C/BE#7:4包含高4位命令/字节使能信号。l PAR64是为上部4个AD通道和上部4位C/BE信号线提供偶校验的奇偶校验位。以下是几小结详细讨论64位数据传送和寻址功能。3. 在32位插入式连接器上的64位卡安装在32位扩展槽上的64位卡只能自动地使用总线的下半部来执行传送,这是事实,因为系统主板的设计者将连接器上的REQ64#输

5、出引脚和ACK64#输入引脚与系统主板上的上拉电阻分别连接而没有其它连接。当64位总线主设备安装在32位插槽并开始交易时,对于任何目标REQ64#的有效是不可见的。此外,ACK64#输出总被采样无效(因为它在系统主板上被上拉),这就迫使总线主设备在传送时只能使能总线下部分。而且,如果交易中被寻址的目标是64位的,它会采样无效的REQ64#(因为它在系统主板上被上拉),这就迫使目标在传送时只能利用总线下部分,并且使ACK64#输出为不可用。在自身卡上的64位扩展信号线在它们使用时不能有漂移。如果插卡上的COMS输入接收器出现振动和泄露过量的电流,这就违反了规范的“绿色”原则。当插卡安装在32位槽

6、时,它不能使用总线的上半部。插卡检测插槽的类型的方法(在启动开始时采样REQ64#无效)下一节将描述。4. 当未使用时,上拉可防止64位扩展的漂移在未使用时如果允许64位扩展信号(AD63:32、C/BE7:4和PAR64)漂移,那么插卡上的CMOS输入缓存器将振动并且泄漏过量电流。当不再使用时,为了防止扩展的漂移,要求系统主板设计者在扩展信号上加上拉电阻来防止漂移,因为这些上拉电阻可保证扩展位不漂移,嵌入在系统板上的64位设备和安装在64位PCI插入式连接器上的64位卡,当它们不使用扩展位位时,不需要采取任何特殊措施防止扩展槽漂移。64位扩展在以下环境中不使用:1 PCI总线空闲。2 32位

7、总线组设备正与一个32为目标进行交易。3 32位总线主设备与一个64位目标进行交易,当在交易的起始检测到REQ64#无效时,目标不用总线的上半部。4 64位总线主设备寻址一个目标已进行32位数据传送(REQ64#无效),并且目标驻留在低于4GB地址边界以下(在地址段和数据段不使用总线上半部)。不管目标是32位还是64位,在数据中不使用总线的上半部(因为REQ64#无效)。5 一个64位总线主设备试图与驻留在4GB边界以下的32位存储器目标进行传送时(REQ64#无效)。在此情况下,主设备在地址段中只能使用总线的下半部分(因为它仅生成32位地址)。当它发现当前寻址的目标是32位目标时(当DEVS

8、EL#无效时ACK64#不能有效),主设备在数据段中停止使用总线的下半部。4.132位PCI 连接器上的64位插卡64位卡允许安装在32位卡插卡连接器上。连接器的主要部分(32位)包括所有32位PCI信号,同时连接器的扩展包含64位信号(除了放置在连接器32位部分的REQ64#和ACK64#).当64位设备安装在32位扩展槽上时,在AD63:32、C/BE7:4和PAR64上的系统主板上拉对插入式卡是不可用的。这就意味着连接到扩展信号号上的插入式输入缓存器将会漂移,震动和泄漏电流。规范中强调插入卡设计者不能通过在插入卡的扩展线上提供上拉电阻来解决这个问题,当卡安装在64位扩展槽中用此方法会引起

9、一些问题,在这些信号线上会需要2套上拉电阻(一套在插卡上,另一套在系统主板上)。如果所有设计者都用此方法,若共有多个64位插卡的设备装入连接器上时,将会由多个上拉电阻在扩展信号线上,这就会造成上拉电阻过载。规范中对一个64位插卡给出了如何在起始时间确定是安装在了32位连接器上还是64位连接器上的方法。如果插卡检测出被插入了64位连接器上时,系统主板上的上拉电阻可在扩展信号不使用时防止卡上的输入接受不漂移;另一方面,如果64位插卡检测出被插入32位卡连接器上时,卡上的逻辑可防止输入接收器的漂移,规范中列举了近似于以下几种方法:l 将输入缓存器关闭。l 不断的驱动输出(因为它们没有连接任何器件)。

10、4.2 64位插卡如何确定所安装插槽的类型当系统被加电时,复位信号会自动被有效。在此期间,系统主板上的逻辑必须有效REQ64#、还有RST#。REQ64#上面有一个上拉电阻与集成在系统主板上的所有64位设备和所有64位PCI扩展槽上的REQ64#引脚相连。规范指出了每个32位PCI扩展槽上的REQ64#信号线(REQ64#和ACK64#放置在连接器的32位部分),每一个都有自己独立的上拉电阻。在复位期间,系统主板复位逻辑最初有效PCI RST#信号同时供电电源的POWERGOOD信号被无效。在RST#有效过程中,系统主板逻辑有效REQ64#并保持有效直至它消除了RST#信号。在POWERGOO

11、D被电源逻辑有效时,系统主板复位逻辑会无效PCI RST#信号。在RST#有效的尾部边沿,要求所有64位设备采样REQ64#信号的状态。嵌入在系统主板或安装在64位扩展槽上的所有64位设备在RST#的尾沿采样REQ#有效,这就要求它们镰刀系统主板上的扩展上拉电阻上并且当不使用它们时不需要采取特殊措施防止扩展信号漂移。安装在32位插卡槽上的所有64位设备,在RST#的尾部边沿都可检测到无效的REQ64#,这就告知它们需要连接扩展信号上的系统主板的上拉电阻,插卡逻辑必须对本身的64位卡上的扩展信号线的状态负责。所以插卡必须使用前一节提过的方法之一,防止卡的输入接受器泄漏过量电流。附件2:外文原文T

12、he 64-bit PCI Extension The 64-bit PCI Extension This chapter describes the 64-bit extension that permits masters and targets to perform eight byte transfers during each data phase. It also describes 64-bit addressing used to address memory targets that reside above the 4GB boundary.1 64-bit Ata Tra

13、nsfers and 64-bit Addressing: Seperate Capabilities The PCI specification provides a mechanism that permits a 64-bit bus master to perform 64-bit data transfers with a 64-bit target. At the beginning of a transaction, the 64-bit bus maser automatically senses if the responding target is a 64-bit or

14、a 32-bit device. If its a 64-bit device, up to eight bytes(a quadword) may be transferred during each data phase. Assuming a series of 0-wait state data phases, throughput of 264Mbytes/second can be achieved at a bus speed of 33MHz(8 bytes/transfer x 33 million transfers/second) and 528Mbytes/second

15、 at66MHz.If the responding target is a 32-bit device, the bus master automatically senses this and steers all data to or from the target over the lower four data paths(AD31:0).The specification also defines 64-bit memory addressing capability. This capability is only used to address memory targets t

16、hat reside above the 4GB address boundary. Both 32-and 64bit bus masters can perform 64-bit addressing. In addition, memory target(that reside over the 4GB address boundary) that respond to 64-bit addressing can be implemented as either 32-or 64-bit targets.2 64-Bit Extension SignalsIn order to supp

17、ort the 64-bit data transfer capability, the PCI bus implements an additional thirty-nine pins:l REQ64# is asserted by a 64-bit bus master to indicate that is would like to perform 64-bit data transfers.REQ64# has the same timing and duration a s the FRAME# signal. The REQ64# signal line must be sup

18、plied with a pull up resistor on the system board.REQ64# cannot be permitted to float when a 32-bit bus master is performing a transaction.l ACK64# is asserted by a target in response to REQ64# assertion by the master (if the target supports 64-bit data transfers).ACK64# has the same timing and dura

19、tion as DEVSEL#(but ACK64# must not be asserted unless REQ64# is asserted by the initiator).Like REQ64#,the AcK64# signal line must also be supplied with a pullup resistor on the system board.ACK64# cannot be permitted to float when a 32-bit device si the target of transaction.l AD 63:32 comprise th

20、e upper four address/data paths.l C/BE# 7:4 comprise the upper four command/byte enable signals.l PAR64 is the parity bit that provides even parity for the upper four AD paths and the upper four C/BE signal lines.The following sections provide a detailed discussion of 64-bit data master and addressi

21、ng capability.3 64-bit Cards in 32-bit Add-in Connectors A 64-bit card installed in a 32-bit expansion slot automatically only uses the lower half of the bus to perform transfers. This is true because the system board designer connects the REQ64# output pin and the ACK64# input pin on the connector

22、to individual pull-ups on the system board and to nothing else. When a 64-bit bus master is installed in a 32-bit card slot and it initiates a transaction, its assertion of REQ64# is not visible to any of the target. In addition, its ACK64# input is always sampled deasserted (because its pulled up o

23、n the system board).This forces the bus master to use only the lower part of the bus during the transfer. Furthermore, if the target addressed in the transaction is a 64-bit target, it samples REQ74# deasserted (because its pulled up on the system board),forcing it to only utilize the lower half of

24、the bus during the transaction and to disable its ACK64# output. The 64-bit extension signal lines on the card itself cannot be permitted to float when they are not in use. The CMOS input receives on the card would oscillate and draw excessive current, thus violating the “green” aspect of the specif

25、ication. hen the card is installed in a 32- bit slot, it cannot use the upper half of the bus. The manner in which the card detects the type of slot (REQ64# sampled deasserted at startup time) is described in the next section.4 Pullups Prevent 64-bit Extension from Floating When Not in Use If the 64

26、-bit extension signals (AD63:32,C/BE7:4# and PAR64 are permitted to float when not in use, the CMOS input buffers on the card will oscillate and draw excessive current. In order to prevent the extension signals to keep them from floating. Because these pull-ups are guaranteed to keep the extension f

27、rom floating when not in use, 64-bit devices that are embedded on the system board and 64-bit cards installed in 64-bit PCI add-in connectors dont need to take any special action to keep the extension from floating when they are not using it. The 64-bit extension is not in use under the following ci

28、rcumstance:1. The PCI bus is idle.2. A 32-bit bus master is performing a transaction with a 32-bit target.3. A 32-bit bus master is performing a transaction with a 64-bit target. Upon detecting REQ64# deasserted at the start of the transaction, the target will not use the upper half of the bus.4. A

29、64-bit bus master addresses a target to perform 32-bit data transfers (REQ64# deasserted) and the target resides below the 4GB boundary. In this case, the initiator only uses the lower half of the bus during the address phase(because its only generating a 32-bit address).When it discovers the curren

30、tly-addressed target is a 32-bit target(ACK64# not asserted when DEVSEL# asserted),the initiator ceases to use the upper half at the bus during the data phases.4.1. a 64-bit Card in a 32-bit PCI Connector Installation of a 64-bit card in a 32-bit card connector is permitted. The main(32-bit) portion

31、 of the connector contains all of the 32-bit PCI signals, which an extension to the connector contains the 64-bit extension signal(with the exception of REQ64# and ACK64# which are located on the 32-bit portion of the connector). When a 64-bit device is installed in a 32-bit PCI expansion slot, the

32、system board pull-ups on AD63:32,C/BE#7:4 and PAR64 are not available to the add-in card. This means that the add-in cards input buffers that are connected to the extension signal pins will float, oscillate, and draw excessive current. The specification states that the add-in card designer must not

33、solve the problem by supplying pullup resistors on the extension lines on the add-in card. Using this approach would cause problems when the card is installed in a 64-bit expansion slot. There would then be tow sets of pullup resistor on these signal line (the ones on the card plus the ones on the s

34、ystem board).If all designer solved the problem in this manner, a machine with multiple 64-bit cards inserted in 64-bit card connectors would have multiple pull-ups on the extension signal, resulting in pullup current overload. The specification provides a method for a 64-b9it card to determine at s

35、tartup time whether its installed in a 32-bit or a 64-bit connector. If the card detects that it is plugged into a 64-bit connector, the pull-ups on the system board will keep the input receives on the card from floating when the extension is not in use. On the other hand, if a y64-bit card detects

36、that it is a 32-bit card connector, the logic on the card must keep the input receives from switching. The specification states that an approach similar to one of the following should be use:l Biasing the input buffer to turning it off.l Actively driving the outputs continually (since they arent con

37、nected to anything).4.2. How 64-bit Card Determines Type of Slot installed In When the system is powered up, the reset signal is automatically asserted. During this period of time, the logic on the system board must assert the REQ64# signal as well as RST#.REQ64# has a single pullup resistor on it a

38、nd is connected to the REQ64# pin on all 64-bit devices integrated onto the system board and on all 64-bit PCI expansion slot. The specification states that the REQ64# signal line on each 32-bit PCI expansion slot (REQ64# and ACK64# are located on the 32-bit portion of the connector), however, each has its own

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论