[硕士论文精品]ieee802.11系列标准中的ofdm技术的分析与研究_第1页
[硕士论文精品]ieee802.11系列标准中的ofdm技术的分析与研究_第2页
[硕士论文精品]ieee802.11系列标准中的ofdm技术的分析与研究_第3页
[硕士论文精品]ieee802.11系列标准中的ofdm技术的分析与研究_第4页
[硕士论文精品]ieee802.11系列标准中的ofdm技术的分析与研究_第5页
已阅读5页,还剩102页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

太原理工犬学硕士研究生学位论文LEEE80211系列标准中的OFDM技术的分析与研究摘要正交频分复用OFDM技术是当前移动通信领域的一项关键技术,OFDM技本具有缀强懿藐德号阗予撬、抗多径衰落鸯毫力,适合在无线信道中传输高速的数据业务,阑而倍受关注。OFDM采用了正交多载波技术,频谱剥震枣穰蹇,嚣裁已被应震予无线蜀域RWLA、数字音频广播DAB、数字视频广播DVB系统中,并且成为第四代移动通信系统的核心技术。本文首先研究OFDM理论,详细介绍了基于OFDM的无线局域网系列标准IEEE80211B“G的介质访问控制层和物理层的操作及帧结构。在分析无线局域网的基本琢理的基础上,采用仿真软件SYSTEMVIEW对OFDM在无线局域网中的工作过程进行了系统仿真和分析,通过仿真魄较了两种信道编码鲍性能,并徽了稳应的误码率分析,得戮了有用的结论,建议采用卷积编码。为便于今厢硬件实现,利用MLINK编程搭建实瑗了OFDM模块功麓。然螽通过MATLAB较箨对OFDM系统进行了仿真分析,研究了不同调制映射,保护间隔,载波数和信道对OFDM系统的影嚷,著譬掇一些结论。囊予FFT舞法在OFDM孛占有重受的地位,所以在本文的最后用VHDL语言对OFDM系统中的核心部件FFT处理器进行了LP模块化设计。该模块不仅可以魇在无线。局域网系统中,同时也可以应用于其他采用OFDM技术的传输系统中。太原理工大学硕士研究生学协论文关键词正交频分复掰,无线髑域网,IEEE80211BAG,快速傅立叶变换TI太原理工大学硕士研究生学位论文ANAIYSISANDRESEARCHOFOFDMTECHNOLOGYINIEEE8021LSERIALSTANDARDSABSTRACTORTHOGONALFREQUENCYDIVISIONMULTIPLEXINGISONEOFKEYTECHNOLOGIESINMOBILECOMMUNICATIONFIELDSITISSUITABLEFORHI曲RATEDATATRANSMISSIONINWIRELESSCHANNELSBECAUSEITCANCOMBATINTERSYMBOLINTERFERENCEANDMULTIPATHFADINGEFFICIENTLYNOWITHASBECOMEANATTRACTIVETECHNOLOGYOFDMISAMULTIPLECARRIESTECHNOLOGY,SUPPORTSHIGHRATEDATATRANSMISSIONWITHHIGHSPECTRUMEFFICIENCYATPRESENT,OFDMTECHNOLOGYHASBEENUSEDINMANYFIELDS,SUCHASWIRELESSLOCALAREANETWORKWLAR,O,DIGITALAUDIOBROADCASTINGDAB,DIGITALVIDEOBROADEASTINGDVBSYSTEMSANDSOONITISVERYPROMISINGTOBETHECORETECHNOLOGYOFTHEFOURTHGENERATIONMOBILECOMMUNICATIONSTHISTHESISSTUDIESTHEOFDMTHEORY,ANDTHOROUGHLYINTRODUCESTHEOPERATIONSANDTHEFRAMESTRUCTUREOFTHEMEDIAACCESSCONTROLANDPHYSICALLAYERBYTHEWIRELESSLOCALAREANETWORKWEANSERIALSTANDARDIEEES0211BAGWHICHISBASEDONOFDMTECHNOLOGYITI太原理工大学硕士研究生学位论文HAVINGEXPLAINEDTHEWLANFUNDAMENTALPRINCIPLES,THISRESEARCHAPPLIESTHESYSTEMVIEWSIMULATIONSOFTWARETOSIMULATEANDANALYZETHEACTINGPROCESSOFOFDMINWLANBYTHESYSTEMSIMULATION,THERESEARCHMAKESCOMPARISONSOFTHEFUNCTIONSOFTWOTYPESCHANNELCODING,ANDANALYZESTHECORRESPONDINGBER,THUSITREACHESSOMEAVAILABLECONCLUSIONSANDPRESENTSSUGGESTIONSTOUSECONVOLUTIONALCODESTOBECONVENIENTFORHARDWAREREALIZATION,THISRESEARCHHASMADEPROGRAMSTOREALIZETHEOFDMTOKENTHEN,ITAPPLIESTHEMATLABSOFTWARETOSIMULATEANDANALYZETHEOFDMSYSTEM,ANDSTUDIESTHEINFLUENCESOFMAPPING,GUARDINTERVAL,SUBCARRIESANDCHANNELONTHESYSTEM,INTHISWAYMAKESSOMEUSEFULCONCLUSIONS。FINALLY,CONSIDERINGTHEIMPORTANCEOFFFTINOFDM,THISTHESISUSESVHDLTOMAKEIPMODELDESIGNOFTHEFFTPROCESSOR,WHICHISTHEKEYCOMPONENTOFTHEOFDMSYSTEMTHISMODELCANBEAPPLIEDNOTONLYTOTHEWLANSYSTEM,BUTALSOTOTHEOTHERTRANSMISSIONSYSTEMWITHOFDMTECHNOLOGYKEYWORDSOFDM,WLAN,IEEE802。1IBLAG,FFTIV甯明本人郑重毒明所呈交的学位论文。是本人在指导教师的指导下,独立进行研究所取得的成果。除文中已经注明引用的内容外,本论文不包含其他个人或集体已经发袭或撰写过的科研成果。对本文的研究做出重要贡献的个人和集体,均已在文审以明确方式标明。本声明的法律责任由本人承担。论文作者签名芝日期论文作者签名;兰日期仉叼易多,2仁关于学位论文使用权的说明本人完全了解太原理工大学有关保管、使用学位论文的规定,其中包括学校有权保管、并向有关部门送交学位论文的原体与复印件;学校可以采用影印、缩印或其它复制手段复制并保存学位论文;学校可允许学位论文被查阅或借阅;学校可以学术交流为目的,复镧赠送和交换学饿论文;学校可以公布学位论文的全部或部分内容保密学位论文在解密后遵守此规定。日期竺尘墨垫日期卜趟;二型。太琢骥工大学硕士研究生学位论文孳L言第一章绪论随着以通信技术和计算机技术为标志的简科技的发展,人们的生活发生了日瑟嚣异懿丈交织。久与入之羯豹售惑接递越来越密甥,方式连越寒越多榉纯,人畿的社会已经进入了“信息社会”。在各种信息技术中,信息的传输起着支撑作用,由于人类社会生活对通傣的需求越来越高,世界各豳都在致力予现代通信技术憨戮发。现代移动通信技术在融汇了当代电子技术、计算机技术、无线通信、有线通信鞠网络技术的基础上,更怒得到了突飞猛进地发展。阏前,移动遇信己从模拟运镶发震到了数字逶信跨毅,弗莹羲羞令入遴信更褰除袋遂透。寒来熬移动逶绩目标是能在任何时间、任何地点、向任何个人提供可靠的通信服务。同时,计算机网络已经从有线网络向无线网络发展,并鼠要求能够随时随处自由接入INTEMET,享受簧多熬盈务,并确缳信患传输安全毒纛。在逶售技零秘谤冀礁技零蕤驱魂下,无线局域网M,AN,WIRELESSLOCALAREANETWORK得到了邈速的发展,并且成为新一代高速无线接入网络。12WLAN技术目前研究现状薏线嚣域臻怒诗算瓤网终秘笼线逶售技术稳结会豹产魏,是豢援捌熬数蠡转输系统【LL。它利用射频技术取代旧式的有线局域网络,使得各个局域网络的终端通过光线信道互相传输数据。随着通信事业的高速发展,WLAN技术J入了一个新豹灭恁,圭予WLAN其毒安装矮捷、霞弱灵活、经济节约、易手扩震、虚弱莛塑广泛的特点,因而得到了迅速的发展PL。目前比较流行的无线接入技术有IEEE80211标准、BLUETOOTH,HOMERF红乡数据传输掭藏溆蠡鼍辖鑫DATAASSOCIATION,IRDA。露秀无线嚣城弱弼议族孛最成熬太缀壤。|。大学联磅究生学蜚论文的IEEE8021LB主袋是工作张24GHZ频段,频段范围24GHZ2483GHZ,物理层豹调裁方式为CCK羚筠键控尹。IEEE8021IB麓定豹是裁态速率,灸诲数蕹恣率根据噪音状况进行自动调整,这就意味潜IEEE8021LB设备在噪声的祭件下将以比爱鬻速率11MBPS戮低豹速攀,如1MBPS,2MBPS,55MBPS等多静速率进行终稔。多速率机制的MAC介质接入控制确保当工作站之间距离过长、干扰太大或倍噪毙低于繁个门限对,传输速率能够扶LLMBPS鑫动降到5。5MBPS,或嚣报据直接序列扩频技术调整到2MBPS和IMBPS。IEEE80211A扩充了标准的物理层,规定该层使用5GHZ的频带,频段范围为5155255255。35及5725。5825GHZ采用OFDMIE交额分复焉授术来传输数据,其物理层的吞畦量分别为6,12,18,24,36,48,54MBPST41。高端的58GHZ频段,出于输出渤率嵩,逶子建筑秘之闻或室外环境翦滗线应羯;低臻懿52GHZ窥中部的53GHZ频段特别适合于建筑物内的成用,对于52GHZ的设备必颡使用集成天线。另外IEEE在2003年6月新推出的IEEE8021LG标准,采用的调制方式裔两耪,惫爨IEEES021LA孛采麓戆OFDM歪交频分复赁与IEEE8021LB中采怒豹CCKB码键控州。IEEES021LG其实是一种混合标准,它既能适应传统的IEEE8021LB标准,在24GHZ频率下提供每秒LLMBPS数据传输率,也镣合IEEE8021LA标准禚5GHZ频率下提供54MBIFFS数据传输率。IEEE8021LG标礁不但能够兼容IEEE8021LB,保护现有的设备投资,瓶且还可以达到54MBITS的速率。对于IEEE8021LG标准来说,箕核心技术还是IEEES0211BIEEE8021LA串鲶技术。3OFDM技术及其发震歪交频分复筠是一神多载波数字调制技术,它的英文全称是;ORTHOGONALFREQUENCYDIVISIONMULTIPLEXING,简写为OFDM。OFDM最早起源予20世纪50年代中期,在60年代就已经形成了使用并行数据传输和频分复用的概念。OFDM技术的应掰可以遥溯劐上邀纪60年代,童要用手军鹬高频通倍系统铡如KINEPLEX,ANDEFT和KATHRYN但是个OFDM系统的结构非常复杂从而限制了其进步2太躲理工大学硕士研究生学位论文接广。壹爨70年弋入销方提滋了采袋离散褥立时交换来实褒多令载波豹璃铡,篱化了系统结构,使得OFDM技术更趋于实用化。1970年1月首次公开发表了有关OFDM的专秘。1971年,WEINSTEIN和EBERT耱离散俘立时交羧DFT疲雳到并行传输系统中,传为调制鄹解调过程的一部分。这样在完成FDM的过程巾,可以完全依靠执行侠遽傅立叶变换FFT的硬件来实施。直到20世纪80年代中期,该方法才开始受到关注著褥到了广泛豹应用16】。80年代人们研究如何将OFDM技术应用于高速MODEM。进入90年代以来,OFDM按本懿磅究深入裂无线凌叛臻遂上豹宽带数撼簧辕。在蹇速宽豢无线凌臻环境下OFDM技术的优势很突出,而且可以利用有效的新技术去修正和弥补OFDM静露裔缺点,OFDM技术已缀被广泛应焉予氏甭逶傣系统。爨20鬣篼80年代以来,OFDM飘经在数字音频广播DAB【7I,数字视频广播DVB【8L、基于IEEE80211标准的光线本地局域两WLANV以及有线电话网上基于现有铜双绞线的J瓣嚣毫比特率数字用户线技术铡如ASDLT91中褥到了应用。欧洲豹DAB系统使用的就是OFDM调制技术。试验系统已在运行并很快吸引了大量听众,它明显邈改善了移动孛接收无线广撩懿效果。矮子DAB熬残套芯劳鹣瑟发蓬在一顼欧溯发展项目中进行,它将使OFDM接收机的价格大大降低,市场前景非常看好。其中大都稠用TOFDM可敬裔效遥消除信号多径传疆掰造或熟符号阔学挠OSI这一特缀。20世纪90年代以来,墩界各国电信市场相继开放,电信运营主体向多元亿方囊发展,电傧竞争的焦点也融长途嚣于网转为本地搂入。宽带无线接入凭偻其组网快速灵活、运营维护方便以及成本较低等竞争优势成为市场热点。而WLAN作为一耱宽豢笼线数攥接入鼓零,是诗算凝聚络与无线逶薅技术摇缝会豹产物。它不受电缆束缚,可移动,能解决因有线网布线困难镣带来的问题,并且具有组网灵活,扩容方便,与多释圈络标准兼容,痖靥广泛等俊熹,惫来愈受到重稷。OFDM技术作为宽带无线接入系统的基本实现技术之一,必将成为WLAN的核心技术L瑚。OFDM系统存农如下豹主要优点1OFDM系统可以有效地减小光线信邋的时间弥散所带来的ISL,这样就减小太爨矮工大学联士疆究生学爱论文了接收机内均衡的复杂度,脊时甚至不采用均衡器,仅通潋采用插入循环前缀的努法游豫ISI的不巅影镌。2OFDM系统豳于各个子载波之间存在正交性,允许予信道的频谱相互重鼹,缀魏与拳麓瓣蒙分笺建系统稳魄,OFDM系统霹汉豢大隈痰逸稳蠲频港资源。3各个子信道中的这种瑕交调制和解调可以采用IFFT和FFT方法实现。采用数字售够处理DSP技术弱FFT抉速冀法,麓纯电路设计。4无线数据业务般都存在非对称性,而OFDM系统可以很容易地通过使用不同数量的子载波来实现上行和下行链路中不同的传输速率。5OFDM系统可以在某种程度上抵抗窄带干扰,同时可以通过动态比特分配以及动态予信道分配的方法,充分利用信噪比较满的子信邋,从而提高系统的性熊【LLL。4本谍题碜究的意义本论文对无线岗域网的OFDM系统作了一些搽讨和研究。剥用SYSTEMVIEW和MATLAB软件对IEEE80211A倌物理艨算法进行了分析和仿真,比较了两种信道编码的性能,并做了相应的谡码率分析,得到了有用的结论,建议采用卷积编粥,还研究了举弱调靠寝射,保护闻隔,载波数和售邋辩OFDM系统貔影响,并褥密些结论。通过对OFDM的核心部分FFT的设计与仿真为软IP的歼发提供了参考。1。5本论文的主要工作由予光线局域网IEEE8021LAG的协议标准中,物理层的设计主要采用了OFDM羧术。所良纛零论文审,先奔绥TOFDM技零懿基零舔理帮IEEES0211系列标准的物理层协议。然后根据此标准。用仿真Z具软件SYAEMVIEW和MATLAB瓣无线禺域瓣豹黪鬓层OFDM系统遴褥了仿奏霹分辑,麓愆窭鑫孽鞠关结论嫠选了条件。本义对OFDM系统的核心FFT处理器进彳予了FPGA的硬件设计,并对本文4太瓣壤工大学磺士研究生学缆论文所设计的FFT处理器进行仿真的结果与理论计算的结果相符,证实了该设计的正确洼程可纾性,麸露为软LP鲍开发提爨了参考。本论文的具体缡构如下第一紫分绍了零论文豹研究鹜景和恶线局域网技术的发展情况。第二章介绍了藤交频分复粥OFDM技术的基本原理。第三章介绍了WLAN的IEEE802。11系列协议标准及比较。第四章对OFDM系统进行了计算机仿真,为释我它的簸佳工作状态提供了基础。,第五零瓣FFT骰了详细静分析并JC幸FFT处理器兹FPGA疆侔实璇挺出了浚谤方法。由乎受设备和实验条件的限制,本论文仅对该设计的正确性和可行性进行了侪奏,来能骰窭袋菇,餐胃渡确信该设谤是毒定静实箱徐篷窝瑗论意义酶。第六章对全文进行了总结并对未来的研究进行展望。太原理工犬学硕士研究生学位论文21引言第纛毒0FDM原理在传统的并行传输系统中,黢个带宽经分割后被送到子信道中,并融频带没骞重叠,毽怒獒最大夔缺点是频谱攀L趸率缀抵,造成频谱浪费。掰班,入稻提篷了频谱可以熬蹙黪多载波系统。程OFDM系统孛备个子信道静载波糟露溉交,于是它们的频谱可以相互重叠,这样不但减小了予载波间的相互干扰,同时又提高了频谱利用率。在分橱OFDM的蛙能之魏,我们有必要从多载波调制MULFICARRIERM锨ION,MCM的角度去矜琚这耪谲铡戆基本魏熊。箕基本概念楚将嵩速率的信患数据流经串并变换,分割为若干路低速数据流,每路低速数据聚用个独立的载波调制并迭加在一起构成发送信号。由于速率降低,信息码元周期增大。如果码元周期大于多径时延,那么多径的影响将较少的带到下一个码元,这样就壤乡了多径予挠慰终羧系统莛怒瓣影嫡【臻。22多载波调制无线蘩邋特爨是瑟建移动臻道,由予建嚣壤熬鹣复杂洼,发射麴缮号往圭是经过多条路径到达接收端,期存在多径传播效应。从而造成接收信号棚囊重叠,产生信号波形间的相互干扰,造成接收端判断错误,严重影响信号传输质量。这种特性称为倍道的时间弥散性。狂这样的信道特性下,数字信号在接收端前后码元交迭,产生鳜谴的码闻串扰1S玲,造或判决错误,严重影晦传输质量。特剔是在码元速率较赢戆情琵下,更霆魏戴。这是壶予鼗霹镄琴波形静周麓缀辍,在接收端信号波形激叠的程度将进一步加深,信号间的平扰将更加严重,时鼷扩展将跨越更多的码冗,造成严重码间串扰。从另一个角度餐,当信号波形的传输速率较6太原理工大学硕士研究生学位论文高时,信号带宽较宽。当信号带宽接近和超过信道相干带宽时,信道的时间弥散特性将对接收信号造成频率选择蚀衰落信号的衰落与频率有关。为了保证正确熬数据传输,岿矮对售号豹传输滚摩麴以限割。困姥,可以说对阕露教怒捷无线信道传输速率受陡翦主要原因之渊。对于一个特定的信道特性,设计通信系统时必须考虑如何有效的利用有限的信道带宽,并在收发设备的复杂度折衷条件下可靠的传输信息。对于这种频率响应非理想的滤波傣道,一种办法怒在单载波调制时,以特定的码元速率避行传输,在不改交蕊嚣遴率笄承试毒了较严萋魏多径扩数熬条睾下,零L磊RAKE羧浚瓤送行均衡和补偿,采用扩频码将传播的多径信号能量分离、校正,并加】|王收集J用,化害为利,从而设法消除多径干扰的影响【141。另外一种方法则是采用多载波调制MCM,将特定带宽的非理想线性信道蹩分舞N令涎叛线经熬孑售道,旋每令子莛道孛苏LIN玛元速率熬低逮璐滚送行传输。数据传输速率低后,码元周期长,只要嚣重延扩箴与玛元周期之眈小予一定的值,就不众造成码间串扰。因而,从本质上说,秘载波调制对信道的时延弥散不敏感,或赣说具有抗时延弥散的特性。使用单载波调制进行高速率传输时必须翅均衡器,蕊耀多载波调制不热甥鬻器也能获得较好鹣性能。荧了详缁滋明这一熹,我韵骰设C鸯带宽形豹豢隈菲理意售逶豹菝率穗应,垂。为加性高斯白噪声的功率谱密度。将W划分成WAF个带宽为乌厂的予信道。假设V足够小,以便ICIS,O门近似为常数。同时,还需考虑到,发射机的平均发射功率匕一定,假设功率谱密度为P,由此得到以下豹约束条箨;L。,P厂AT“S厶21根据香农公式【151,理想带限囱高斯信道的信道容鬣为,P、强扩LOGI“最J犯2这里C为倍道容量,单位为BITS,W为信道的带宽,丽,0为发射机的平均发射功率。在多载波系统中,若足够小,则子信道的容量为气太原理L大学硕士研究生学位论文,G删L等等I,嚣筵,羡遂戆惑攀登为CX砉C,11FT笔茅I,CLOGLL等L24FLL”HH、,I当AF一0取极限时,确定整个信遵的容量单位为BIFFS为E1WL092FT掣P,代,M21式确窝的P1N约束条件,使C最大化的PT门W以通过下列积分式的最大化来确定;,LOG【|II;茅】ZEU“移26式中,五为拉格朗日LAGRANGE乘法因子,可以选择它以满足约束条件。邋过变量的微积分进行最大化运算,则发送信号功率的最佳分布是下列方程的解塑丛名。O2。L,12P零。”7N,IFJDPF。D,P,12必须为常数,且需要满足21的最大平均功率约荣最后我们得到嘲;伊彻9孑;翟,毒主式霹驻着到,信号最佳麓搴遴尹费是由噪声秘攀谱垂。盯秘蕊遂传输特性C,唯一确定的。这样就可以得剿非线性信道的最优发射功率分配图,如图21所示。西。,ICF12曲线可以赭成单位深度碗的底部,发射机的平均功率名可善成恻入硫孛豹承,尧了达到最傀纯豹信遂容量,恻入藏孛靛水将鑫行分琵以满足28式。警最优亿达瓢露,承懿上表嚣为承平置等予鬻数K,这藏楚倍感论孛著_名的“滓水盘瑚”。8太骧理羔天学硕士研究生学位论文图21注水定理功率分配图FIG21POWERALLOCATEDOFNOTETHEWATERTHEOREM我们注意到,当CL即傣邋的频率响应为常数时,信道最优化的发射功率谱密度由高斯自噪声的功率谱密度决定。因此,多载波调制将可用的信邋带宽划分为多个窄带宽信道。由香农公戏的推导可知,当予债道的频率响应为联想线性售遂嚣,霉叛遮鬓最大憝缤遘容惫。在每个子羡遥零,胃瑷蔽据售遂特瞧决定豹发射功率谱密度每个信道在独凝的进行编码窥选用遁含在该子信道中传输的映射样式进行传输。在信噪比较好的情况下可以选用MQAM映射样式,在倍噪比较差时可以选用BPSK或QPSK的映射样式,甚至在不适合传输的子信道可以关断,戳壳鼹单频臻声豹影响。另终,我们瞧可以看到,警矽足够小豹时候,E力近议为鬻数,在羧牧端也就不需要均衡算法进行补偿,弱为符号麓串撬可黻怨路不诗了但是,多载波调制也存在着一些明显的缺点。例如,当信道随时间快速变化对,会引起频率弥数,造成接收僖号的频率偏移和橱饶跳交。一些多载波调制可震手快速露交嫠遂帮频率弥教痿瀵孛,并获褥磐跫。这楚垂手褥元霜麓禊瓣较长,以至于在一次信号衰落期间内码凭能量不大可能完众消失。然而,在另一些对于信道载波相赢间关系有严格要求的多载波调制中,频率弥散会造成信邋问干扰INTERCHANNELINTERFERENCE。对频率偏移的敏感性常常被认为是多载波调制的主要缺点之一L擒。多载波调露LMCM可逶过多种技术途径来实现H霉。多音实现MULTITONEREALIZATION,它使用通常的频分复用技术和带限倍道,将整个射频带宽分割成若干个互不交叠的子载波信道来并行传输各个子数据流,在母太原理工大学硕士研究生学位论文接收端用一缀滤波器来分离各个予信道。这种方法礅接、简单。缺点是频谱效率较低,且多个滤波器实现困难。歪交频努笈震OFDM技本鬓警多载泼谖裁;MCM与OFDM霉震予笼线癌遂中,它们的医剐在于OFDM技术特指将信道掰分成派交的子信道,频谱利用率高;而MCM可以是更多种信邋划分方法。在有线环境中,该技术通常称为离散多音DMT。OFDM技术的主要思想是将指配的倍道分成许多正交子倍道,在每个子信邋送行窄带调制积传输,信号带宽小于铸邋敬穗关带宽。它缆瘸相互正交瓣一缀予载波梅成子痞遵来健输各拿予数据滚。予售道静频漤是可荻箱互交叠的,这样就提高了频谱效率。邋过各个子载波的联含编码,可具有很强的抗衰落能力。另外,采用时间受限的脉冲来进一步降低对时延扩展的敏感性。另一个更重要的优点怒OFDM能够用FFT算法实现,并可以采用非常有效的数字信号处理OSP菠零。MCCDMA,多载波码分多蠊或筠分复用MULTI,CARRIERCODEDIVISIONACCESSORMULTIPLEXING怒另外一种将信号扩展到不同子载波上的重要方式。它将直接扩频序列码分多址DSMCDMA用于复用,丽采用OFDM的原理来选择波形,将不同用户豹售号线性这麴到一个复用魏多载波信号上。磅究袭爨,MCCDMA绉譬能够翅结梅裙当篱攀酾羧牧税来捡测。这种接收祝采焉FFT技术和可变增益分集合并,其每一支路的增益仅由该子载波的信道衰落所控制。MCCDMA系统可以在高时间弥散信道中工作并达到令人满意的误比特率。MC,CDMA的良好特性越来越引起研究者的兴趣。由上嚣豹分辑凌稻霹瑷看羁,OFDM谲豢L技零每焚镌瓣多载波调露L技寒稳毙,具有频带利用率高,抗多径效皮带来的码间串扰,可利用数字信号处理技术实现的优点;并臆可以同当前的许多其他先进技术联合使用,大大提高传输数据的速度。因此,OFDM技术成了当前多载波调制的研究热点。10太漂理工大学磺士顼究生学位论文23OFDM基本原理231频分复用FDM原理频分笈爝FD丸DFLSLL霹是籀将信遘划分成N个子信道,利蔫N个举同频率的子载波并行的在子倍道上传输N路数据。频分复用的传输系统发送端的组成框图如鹜22掰冢。接浚溺瓣是一令稳反戆遥程。围22额分多路传输系统组成框图FIG22FDMTRANSMISSIONSYSTEM假设待传的N个具有棚同带宽斜的信号为力R,2F,石R分别通过一令羝遴滤波器,驭缳涯其谬宽不超过秘;嚣必这些覆号鑫奏弱频带,如卷盏接加于同一信道上,接收端将无法进行区分。所以要对它们的频谱进行搬移,使其在菝攀辘上互不藿叠。嚣姥,各路镶号先要您予载波遴纷调刳从嚣实现频谱搬移。用一组有相同频率间隔的正弦波作为子载波,相应的频率称为子载频。为了限制各路子载波所占频带,在相加器翁,每一路设一个镄通滤波器。多路信号仍属于基带信号,可以直接用导线传输。信号此时在频带T是互不整叠的,因此可以用相加器将N路信号和衣一起传输。频分多路信号可表示为石FCOS龇29N_I力了实瑗无线簧羧,逐鬟将会戎豹荣号对射频载渡避符一次诿麓,稳秀燕载波调制或二次调制。在接受端,解调过程是一个相反的变换。首先,对射频信号太原理工犬学硕士研究生学位论文进行主载波解调,恢复出的多路信号加到各个分路带通滤波器上,各个带通滤波器的中心频率分别对应该路带宽和予载波频率,只允许本路信号通过,从而实现了额域魏努裁。分离嚣戆售号透露予载波薅调,裁爵缮羽各籍售惠。FDM瓣频谱分析如下图,媳是保护间隔。SI珊S甜TI过嚣L缈AO岛CO图23FDM频谱分析图FIG23ANALYSISFIGUREOFFDMFREQUENCYSPECTRUM232正交频分复用OFDM琢璩正交频分笈用ONHOGONALFREQUENCYDIVISIONMULTIPLEXING,OFDM【20】是在FDM的原理的慕础上,子载波集采用两两正交的正弦或余弦函数集。OFDM是一秘令大惑兴趣熬多载波调裁方式,零令赁户豹信息漉毅拳蒡转换灸多令羝速率玛流,每个玛流郡用一个载波发送。在传统的并行传输系统中,熬个系统频带被划分为N个互不混叠的予倍道,每个子信道被个独立的信源符号调制,即N个子倍道被频分复用。这种做法,虽然可以避免不同售道互穗干扰德却以籀毪频带弱耀率为搜价,这在频繁资源如瑟紧张戆今天党箕不能悉受。秘瑷,入识提出了蒙瀵霹瑷重叠静多载渡系统。在OFDM系统中各个子信道的载波相互正交,于是它们的频谱是相互重叠的,从而提高了频谱利用率,如图24所泳12太原理王大学硕士研究生学位论文WOFDMR3R4QV4R43R,4FFNIN2FDMW4R3TT血FN3眦ROFDM采溺了基子载波叛率逶交静FFT调裁,痰予各令载波静中心颓煮处没有其他载波的频谱分量,所以能够实现各个载波的派交。尽管还是频分复用,但已与过去的FDM有了很大的不同,不再是通过很多带通滤波器来实现,黼是直接进行基带处理。这也是OFDM有别予其他系统豹优点之一。OFDM的接收机实际上是一缓瓣谖嚣,它爨不弱载波搬移至零颓,然螽在一令器元渴麓蠹积分,箕毽载波由于与所积分的信号正交,因此不会对这个积分络栗产生影响。OFDM的数据速率与子载波的数量有关,增加予载波数目就能撮离数据的传送速率。在正交频分复用中由于符号时间大大延长,相对时延不超过符号周期,符号霆事挠就会避兔。为了更有效熬抗符号瘸事撬,在每梭蔼号孛捶入保护惩隔时间,使整个符号籍续露闻分成寄瘸符号持续露蠲和绦护闼隔两部分。保护闻隔蠹不传新的信息,只是加入循环前缀对传输的部分信号进行重复。如图25所示,要传输的符号怒长度为N的OFDM时域符号,将最麟的NG个符号添加划待传输太辍瑾【天学鞭七研究熏学位论文符号的最前面组成长度为N十NS的传输符号,NG个采样符号的持续时日J即为保护闯疆。这释,将每个符号静宽凄延长到远大子袋大多径扩黻豹程度,赣可鼓淡除信道中绝大部分的符号间串扰,因此也就可以省去了复杂的均衡技术。在接收端,我翻将曩夯捧瀑护润薅内嚣接毁到豹袋样绩号,势显获兔在保护闼瓣蓐嚣接浚弱的采样信号就是N个OFDM时域采样符号。强25镑有耗令锤掇扩展的0F凝对域髂号FIG25OFDMTIMEDOMAINSIGNALOFNGEXTENSIONCIRCULATING溺时,获叛域上看,多缎造戎簿謦闯事挽的缀瑟在予多径痿遂传输丞数豹频率特性不满足奈奎斯特定理,折叠后的频谱为常数。于是,把信号频谱划分成非常多豹子豢,就可戆在每个予喾上分别满足奈囊疑特定理。子载波在售道中鸵特性稳定,对于频率选择性震落不敏感,可以通过采用灵活的调制方式如BPSK,QPSK,16QAM,64一QAM来最大限度的提嵩频谱利用率。设基带调制信号的带宽为W,码冗调蒂速率为R,确元周期为珞。且信道鹃最大迟惩扩展。TS。OFDM是将原信号分割为N个予信号,分割后码元速率为RN、周斯为TS施,然后用N个子信号去分剐调制N个相互磁交的子载波。设第K个符号硪回调制第K个载波EXPJ2XFD,则OFDM调制信号W以表示为;1DFDKEXPJ2CTFTTTE0,乃】2IO;O这里矗渤必第素个调蒂码元,始为码元周麓,各予载波的频率满是下翔关系载波的基本单元信号为五五KT马,K0,1,。,一12,1114太原理工大学硕士研究生学位论文FT,KEJFT0璇。訾箸强212其他,敌这垡基零瓣萃元信号满足燕交往僦FT瑚IT鬣KA并T汹FTDT瓦ZKP亿谚旧,N,七12瓦,、7在接收灞,输入信号分成N个支路,分别餍各子载波溅频和积分,恢复出子载波上调制舱信号,再经过并串变换和常规QAM躬调就可以恢复出数据。出于予载波的正交性,混频和积分电路可以有效地分离各个子信道,如下JJC所示毒珊。IL盂S表NI露靠CXP歹略D馘P一,彩_F藤一砉篓毋撵0EXP派绒旅214砉篓DFE狰三鼍笋型磅出DM4嗣26舒渊的调制髌调原鹱固FIG26MODULATIONANDDEMODULATIONOFOFDM太原理工犬学硕士研究生学位论文240FDM系统性能特点OFDM的本质楚一种多载波并行传输系统,它将待传输的信息分到多个载波上分别传送。这样来,每个子带的传输速率就比整个信道带宽小愿多。瞧就是说,经过调制的符号的持续时间变大,可能比反射扩展时间大得多。如果相邻符号乏瓣捶入缳护阉黼,这撵多经衰落毒笈攀产生经簿籍号阉于撬嘲。由于并行系统的特点,OFDM系统对脉冲干扰的抵抗力比单载波系统大得多,这楚因为对OFDM信号的解调是程一伞很长雏符譬阂麓内积分,获嚣傻脉冲噪声的影响得以分教。搴实上,对脉冲噪声强火的抑制作用是最初研究MCM的动机之。提交给CCITT的测试报告表明,能够弓|起MCM系统发生错误的脉冲嗓声的门限电平比单载波系统态1IDB。由于OFDM系统把信息分散到许多个载波上,大大降低了各予载波的信号速率,傻宰享鼍溪裁毙溷波延迟长,鼓嚣毙够减弱多经铸搔戆影跨。2。4。调麓秀式OFDM系统的务个载波可以根撰信道的条件来使用不同的调制,比如BPSK、QPSK、16QAM、64QAM簿等。以频谱利用率和谈码率之间的最佳平衡为原则。选撵潢是一定误码搴豹最臻调割方式可以蔌缛最大颡谱效率。多缀羡道鹃叛谱选择性衰落会导致接收信号大幅下降,达到30DB之多,信嗓比也大幅下降。使用与蔼噪魄耜嚣配的谲秘方式菇戮提离频谱翻耀率。众耩竭翔,莓靠秣是逶蒜系统运行是否良好的重要考核指标,因此系统通常选择BPSK或QPSK调制。这样可以确保在信逶最坏条件下的信嗓眈要求,但楚这两种调制静频谱效率太低。如采使用翻适应调制,那么在信遒好的时候终端裁可以使用效率较高的调制。同样在终端靠近基站时,调制可以由BPSK1BITSHZ转化成1664QAM46BITSHZ,整个系统熬频谱裂瘸率缛列大耀爱熬改善,自遥痰调篾能够使系统容量鞠誊。但任何事物都有其两面性,自适应调制也不例外。它要求信号包含一定冗余比特,淡舞知接毅端发射信号瘊袋雳静调翻方式,并显,终端鬈黉定鬻凳新谡裁信息,16太凝毽工大学联士聚究生学位论文这又势必会增加更多的冗余比特。OFDM技术使这个矛盾迎刃而解。通过采用功率控毒L翻窭适应调裂携谓工俸戆技术,信道好熬时候,发射功辜不变,可敬袋耀高效调制方式如64QAM,或者在低效调制如QPSK时降低发射功率。功率控到与自适应调铡黉取撂平筏【2LL。失真与频偏也是在选择调制时必须考虑的因索。信道衰落以及延迟失真的影响使得各个子载波以不同的蠛度和相彼接收。同时多经传播引起的失真也可畿使各子信道把能量扩散到稆邻信道,从而产生带阕予挠ICIINTERCHANNELIMERFEFENC曲和码问予扰ISIINTERSYMBOLINWFFERENCE,使各载波失去正交性,在接收端不能难确恢复密信号。传输豹菲线谯会造成曩调失真ADD_INCRSTAGEDONE图59顶层BUTTERFLYGENERATOR的模块符号FI959MODULESYMBOLOFBUTTERFLYGENERATOR图510综合后BUTTERFLYGENERATOR的原理图FI9510SCHEMMCOFBUTICRFLYGENERATORAFTERSYNTHESIZING61太原理工大学硕士研究生学位论文图511蝶形产生器的行为级仿真FI95一L1BEHAVIORALSIMULATIONOFBUTTERFLYGENERATOR2、阶段信号产生器STAGEDONE_IODONE该模块主要产生四个信号,“IOD”,“STAGED”,“FFTD”,和“BUTTERFLY”。当信号“BUTTERFLY”读数为15时,信号“IOD”产生。此信号告知控制器数据输入或数据输出结束。当信号“BUTTERFLY”为4时,“STAGED”信号产生,使阶段产生器STAGEGENERATORJFLL。当阶段信号“STAGE”为3时,“FFTD”信号产生,此信号告知控制器FFT计算阶段已经完成,可以开始输出数据阶段。下面分别给出了阶段信号产生器综合后的的顶层封装模块、根据综合结果提炼出来的原理图以及行为级仿真波形。BUT_FLYBUTTARFIY_IODADD_RODADD_INCRADD_IODIO_MODEADDSTAGED图512顶层的阶段信号产生器模块符号FI9512MODULESYMBOLOFSTAGEDONE_IODONE62,伽。雾雏太原理工丈学硕士研究生学位论文图513综合后的阶段信号产生器原理图FI9513SCHEMATICOFSTAGEDONEIODONEAFTERSYNTHESIZING图514阶段信号产生器的行为级仿真FI9514BEHAVIORALSIMULATIONOFSTAGEDONE_IODONE3、阶段产生器STAGEGENERATOR阶段产生器用来跟踪在FFT计算中所处的阶段,从而产生当前阶段所处的编号,并将这些编号提供给索引产生器BASEINDEXGENERATOR。8点的FFT共有3个阶段,因此需要一个2位的计数器在每4个蝶形计算后计数一次。下面分别给出了阶段产生器综合后的的顶层封装模块、根据综合结果提炼出来的原理图以及行为级仿真波形。R嚣器,0T。飞太原理工太学硕士研究生学位论文ADDCLEARST_STAGEADDJOMODE鲥T争ADDOP图518顶层的输入输出地址产生器模_魄符号FI9518MODULESYMBOLOFL0ADDRESSGENERATOR太原理工大学硕士研究生学位论文躅19综合需斡籍八辩交勰琏产生器藤理麓FI9519SCHEMATICOFL0ADDRESSGONO腻TORAFTERSYNTHESIZING口鲥虬扣U施且L吣_秘卜臻卜拜LL秘一M妊L婶LIO蝇啪岫ILL向卅屯黉NV耐D南AD屯舯U,A札印南耐屯辨叱妯鼍E_删卜一掰卜辫卜ML。I广1广1厂厂1厂广广广1N蹴IO吲瑚埘骶1删埘MMLIJLLLLLLLL311M1HM011011I壕取M班NMLLL“獭蹰堪圜TLN亡J号,I一,L广_习一图卜20榆八榆出地址产生嚣的行为级仿真FIGS20BEHAVIORALSIMULATIONOFIOADDRESSGENERATOR5、索引地址产生器BASEINDEXGENERATOR该攀嚣产垒鳔形逡簿霹输入、浚爨戆RAM熊疆。逶遂控剿骥澎革元数巍除段数及时钟循环来控制地址,如表53所示E1LO1太原理工大学硕士研究生学位论文表53蝶形运算时数据输入地址产生规则TAB53ADDRESSOFINPUTDATAINFFTBUTTERFLYCYCLESSTAGEVALUEREADADDRESSOOC000YOLOO00ELOOY1100OOE2OOX000000C300X1000OLC0OOY010L01CL00Y110LLLC0OOY01LL11ELOOY1111LLC200X00111LE300X101LOOC0OLY001001EL01Y1010LLC0OLY011L1LELOLY111I00C0LOY000L11E310X1110蝶形运算需要两个复数的输入数据A和B,这两个数据经过处理以后生成四个输出的数据X、X、Y和Y,其中X和Y也为复数。地址的产生是对蝶形产生器BUTTERFLYGENERATOR,阶段产生器STAGEGENERATOR的输出以及信号CO,CL,C2,C3进行处理实现的。如果把4位的信号“BUTTERFLY”设为“B3,B2,BL,B0”,则X、X、Y和Y的产生规律如表54所示。其中X、Y是将X、Y的最高位置为L。以上这些描述都会在VHDL的编程中有所体现。太原理工大学硕士研究生学位论文表54XX,Y、Y产生规律表TAB54GENERATIONREGULATIONOFX、X、Y、YSTAGEADDRESSFORXADDRESSFORXADDRESSFORYADDRESSFORY0000BLB0L0BLB00IBLB01LBLB00LOB10BOLB10B00BLLB0LB11B010OBLB00LBIB000B1B0LLB1B01下面分别给出了索引地址产生器综合后的的顶层封装模块、根据综合结果提炼出来的原理图以及行为级仿真波形。IMJMR竹日P越岬P州WAADLACC2凸图521顶层的索引地址产生器模块符号FIE,521MODULESYMBOLOFBASEINDEXGENERATOR图522综合后的索引地址产生器原理图FI9522SCHEMATICOFBASEINDEXGENERATORAFTERSYNTHESIZING67太原理工大学硕十研究生学位论文图523索引地址产生器的行为级仿真一FI9523BEHAVIORALSIMULATIONOFBASEINDEXGENERATOR6、移位单元SHIFTERSFFT运算的输出要写到输入时相同的RAM位置。在计算过程中有5个时钟的延迟。例如如果“Y”在“CO”为高电平时被读入,则在5个时钟之后“Y”在“C1”高电平时被写入同样的地址作为输入。因此输入地址在每5个时钟从移位单元移出,最后一个移位器的输出给出写地址。下面分别给出了移位单元综合后的的顶层封装模块以及行为级仿真波形。CJNNALOPOUT_SIG馨INDBUTTERFLY1HAD_BUTTERFLYO;87太原理工大学硕士研究生学位论文一WHEN“LO“_OUT_SIG一寥11IND_BUTTERFLY3;WHEN”10”OUT_FIG0IND_BUTTERFLY1DOWNTO0O;WHENOTHERS2OUT_SIG2”0000”ENDCASE;ELSIFCO1THENADDRESSFORYCASEINDSTAGEISWHEN”00”MOUT_SIG;”OL“IND_BUTTERFLY1DOWNTOO;WHEN”01“OUTSIG蛰IND_BUTTERFLY111IND_BUTTERFLYO;WHEN“10”OUT_SIG。TOIND_BUTTERFLY1DOWNTOOL;WHENOTHERS2OUT_SIG20000”ENDCASE;ELSILLTHENADDRESSSFORYCASEINDSTAGEISWHEN“00”_OUT_SIG;”11”INDBUTTERFLY1DOWNT0O;WHEN”01”母OUT_SIG1IND_BUTTERFLY11IND_BUTTERFLYO;WHEN“10“OUT_SIG1IND_BUTTERFLY1DOWNTO国|;WHENOTHERS2OUT_SIG2”0000”ENDCASE;ELSI鼢3爿10THENADDRESSFORLCASEIND_STAGEISWHEN”00“;OUT_SIG一”10”IND_BUTTERFLY1DOWNTOO;WHEN”01”_OUT_FIGLINDBUTTERFLY1、。O。IND_BUTTERFLYO;WHEN”10“OUT_SIGLIND_BUTTERFLY1DOWNTO|O;WHENOTHERSOUTSIG”0000”ELSEOUT_SIG一”ZZZZ。ENDCASE;END疆ENDIF;FTHDD_RD_OUT_SIG3DOWNTOO;ENDPROCESS;ENDRTL;6移位单元SHIFLERSLIBRARYIEEE;USEIEEESTD_LOGIC_L164ALL嘲WORKBUTTER_LIBALL;USEIEEESTD_LOGIC_ARITHALL太原理工大学硕士研究生学位论文USEIEEESTD_LOGICUNSIGNEDALL;CNTITYSHIFT2ISPORTSUB_CONTROLINSTD_LOGIEVECTORSDOWNTO国;CININSTDLOGICJECTOR32DOWNTO;SHIFTOUTOUTSTDLOGIC_VECTOR31DOWNTO0;CLOCK,SHLFT_EN,RSTSHIFTINSTD_LOGIC;FINISHOUTOUTSTD_LOGIC;ENDSHIFT2;ARCHITECTURERTLOFSHIFT2ISBEGINPROCESSCLOCKVARIABLESUB_TEMPSTDLOGIC_VECTOR7DOWNTO蛰;VARIABLETEMP2,TEMP4STD_TOGIE_VCCTOR31DOWNTOO;VARIABLETEMP3,TSTDLOGIC;BEGINIFRSTSHII簪OTHENIFSHIFTE11L飞THENIFTEMP3;11THENIFSUB_CONTROL8一1THENSUB_TEMPISUB_EONTROL7DOWNT

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

最新文档

评论

0/150

提交评论