Verilog_HDL设计初步.ppt_第1页
Verilog_HDL设计初步.ppt_第2页
Verilog_HDL设计初步.ppt_第3页
Verilog_HDL设计初步.ppt_第4页
Verilog_HDL设计初步.ppt_第5页
已阅读5页,还剩43页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

第4章 Verilog HDL设计初步,4.1 组合电路的Verilog HDL描述,4.1.1 4选1多路选择器及其Verilog HDL描述1,4.1.1 4选1多路选择器及其Verilog HDL描述1,4.1 组合电路的Verilog HDL描述,4.1.1 4选1多路选择器及其Verilog HDL描述1,4.1 组合电路的Verilog HDL描述,4.1.1 4选1多路选择器及其Verilog HDL描述1,4.1 组合电路的Verilog HDL描述,4.1.2 4选1多路选择器及其Verilog HDL描述2,4.1 组合电路的Verilog HDL描述,4.1.2 4选1多路选择器及其Verilog HDL描述2,1按位逻辑操作符,A=1b0; B=1b1; C3:0=4b1100; D3:0=4b1011; E5:0=6b010110;,4.1 组合电路的Verilog HDL描述,4.1.2 4选1多路选择器及其Verilog HDL描述2,2等式操作符,A=4b1011; B=4b0010; C=4b0z10; D=4b0z10;,4.1 组合电路的Verilog HDL描述,4.1.2 4选1多路选择器及其Verilog HDL描述2,3assign连续赋值语句,assign 目标变量名 = 驱动表达式;,assign DOUT = a ,assign DOUT = a ,4.1 组合电路的Verilog HDL描述,4.1.2 4选1多路选择器及其Verilog HDL描述2,4wire定义网线型变量,wire 变量名1,变量名2,. . . ; wire msb:lsb 变量名1,变量名2,. . . ;,wire 7:0 a ;,wire Y = tmp1 tmp2;,wire tmp1,tmp2; assign Y = tmp1 tmp2;,5注释符号,4.1 组合电路的Verilog HDL描述,4.1.3 4选1多路选择器及其Verilog HDL描述3,4.1 组合电路的Verilog HDL描述,4.1.3 4选1多路选择器及其Verilog HDL描述3,1if_else条件语句,if (S) Y = A; else Y = B;,if (S) Y=A; else begin Y=B; Z=C; Q=1b0; end,(1)阻塞式赋值。 “=”,2过程赋值语句,(2)非阻塞式赋值。,3数据表示方式,4.1 组合电路的Verilog HDL描述,4.1.4 4选1多路选择器及其Verilog HDL描述4,4.1 组合电路的Verilog HDL描述,4.1.5 简单加法器及其Verilog HDL描述,1. 半加器描述,4.1 组合电路的Verilog HDL描述,4.1.5 简单加法器及其Verilog HDL描述,1. 半加器描述,4.1 组合电路的Verilog HDL描述,4.1.5 简单加法器及其Verilog HDL描述,1. 半加器描述,4.1 组合电路的Verilog HDL描述,4.1.5 简单加法器及其Verilog HDL描述,1. 半加器描述,4.1 组合电路的Verilog HDL描述,4.1.5 简单加法器及其Verilog HDL描述,1. 半加器描述,4.1 组合电路的Verilog HDL描述,4.1.5 简单加法器及其Verilog HDL描述,1. 半加器描述,4.1 组合电路的Verilog HDL描述,2. 全加器顶层文件设计,4.1 组合电路的Verilog HDL描述,2. 全加器顶层文件设计,Verilog中元件例化语句的结构比较简单,一般格式如下: : ( .例化元件端口(例化元件外接端口名),.);,4.1 组合电路的Verilog HDL描述,3. 8位加法器描述,4.1 组合电路的Verilog HDL描述,3. 8位加法器描述,4.2 时序电路的Verilog HDL描述,4.2.1 边沿触发型D触发器及其Verilog描述,4.2 时序电路的Verilog HDL描述,4.2.1 边沿触发型D触发器及其Verilog描述,4.2 时序电路的Verilog HDL描述,4.2.2 电平触发型锁存器及其Verilog描述,4.2 时序电路的Verilog HDL描述,4.2.2 电平触发型锁存器及其Verilog描述,4.2 时序电路的Verilog HDL描述,4.2.3 含异步清0和时钟使能结构的D触发器及其Verilog描述,4.2 时序电路的Verilog HDL描述,4.2.3 含异步清0和时钟使能结构的D触发器及其Verilog描述,4.2 时序电路的Verilog HDL描述,4.2.4 含同步清0结构的D触发器及其Verilog描述,4.2 时序电路的Verilog HDL描述,4.2.4 含同步清0结构的D触发器及其Verilog描述,4.2 时序电路的Verilog HDL描述,4.2.5 含异步清0的锁存器及其Verilog描述,4.2 时序电路的Verilog HDL描述,4.2.5 含异步清0的锁存器及其Verilog描述,4.2 时序电路的Verilog HDL描述,4.2.6 Verilog的时钟过程描述注意点,4.2 时序电路的Verilog HDL描述,4.2.6 Verilog的时钟过程描述注意点,4.2 时序电路的Verilog HDL描述,4.2.7 异步时序电路,4.2 时序电路的Verilog HDL描述,4.2.7 异步时序电路,4.3 计数器的Verilog HDL设计,4.3.1 4位二进制加法计数器及其Verilog描述,4.3 计数器的Verilog HDL设计,4.3.1 4位二进制加法计数器及其Verilog描述,4.3 计数器的Verilog HDL设计,4.3.1 4位二进制加法计数器及其Verilog描述,4.3.2 功能更全面的计数器设计,4.3 计数器的Verilog HDL设计,4.3.2 功能更全面的计数器设计,4.3 计数器的Verilog HDL设计,4.3.2 功能更全面的计数器设计,习 题,4-1 举例说明,Verilog HDL的操作符中,哪些操作符的运算结果总是一位的。 4-2 wire型变量与reg型变量有什么本质区别,它们可用于什么类型语句中? 4-3 阻塞赋值和非阻塞赋值有何区别? 4-4 举例说明,为什么使用条件叙述不完整的条件句能导致产生时序模块的综合结果? 4-5 用Verilog设计一个3-8译码器,要求分别用case语句和if_else语句。比较这两种方式。 4-6 图4-27所示的是双2选1多路 选择器构成的电路MUXK。对于其 中MUX21A,当s=0和s=1时, 分别有y=a和y=b。试在一个模块 结构中用两个过程来表达此电路。,习 题,4-7 给出1位全减器的VHDL描述。要求: (1)首先设计1位半减器,然后用例化语句将它们连接起来,图4-28中h_suber是半减器,diff是输出差,s_out是借位输出,sub_in是借位输入。 (2)根据图4-28设计1位全减器。 (3)以1位全减器为基本硬件,构成串行借位的8位减法器,要求用例化语句来完成此项设计。,4-8 给出一个4选1多路选择器的Verilog描述。此器件与图4-1类似,但选通控制端有4个输入:S0、S1、S2、S3。当且仅当S0=0时:Y=A;S1=0时:Y=B;S2=0时:Y=C;S3=0时:Y=D。,习 题,4-9 把例4-21改成一异步清0,同步时钟使能和异步数据加载型8位二进制加法计数器。图4-27 含2选1多路选择器的模块 4-10 分频方法有多种,最简单的是二分频和偶数分频甚至奇数分频,这用触发器或指定计数模的计数器即可办到。但对于现场实现指定分频比或小数分频率的分频电路的设计就不是很简单了。 试对习题4-9的设计稍作修改,将其进位输出COUT与异步加载控制LOAD连在一起,构成一个自动加载型16位二进制数计数器,也即一个16位可控的分频器,给出其Verilog表述,并说明工作原理。设输入频率fi=4MHz,输出频率fo=516.51Hz(允许误差0.1Hz), 16位加载数值=

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论