版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、电子琴设计,设计要求,设计一个八音电子琴。 由键盘输入控制音响,同时可自动演奏乐曲。 用户可以将自己编制的乐曲存入电子琴,演奏时可选择键盘输入乐曲或者已存入的乐曲。,系统组成,系统由数控分频器和乐曲存储模块组成。 数控分频器对FPGA的基准频率进行分频,得到与各个音阶对应的频率输出。 乐曲存储模块产生节拍控制和音阶选择信号,即在此模块中可存放一个乐曲曲谱真值表,由一个计数器来控制此真值表的输出,而由计数器的计数时钟信号作为乐曲节拍控制信号。,模块设计,1.顶层模块的设计 2.自动演奏模块(automusic)的设计 3.音调发生器(tone)模块的设计 4.数控分频模块(speaker)的设计
2、,1.顶层模块的设计,顶层模块由乐曲自动演奏(automusic),音调发生器(tone)和数控分频器(speaker)三个模块组成。 其中乐曲演奏部分又包括了键盘编码。 设置一个自动演奏/键盘输入切换auto,即当auto=“0”时,选择自动演奏音乐存储器里面的乐曲,auto=“1”时,选择键盘输入的信号。,顶层设计原理图,2.自动演奏模块(automusic)的设计,音乐存储模块的作用是产生8位发声控制输入index。 当 auto为“0”时,由存储在此模块中的8位二进制数作为发声控制输入,可自动演奏乐曲。 此模块的VHDL程序中包括两个进程,首先是对时基脉冲进行分频得到4Hz的脉冲,作为
3、第二个进程的时钟信号,它用来控制每个音阶之间的停顿时间, 1/4=0.25s;第二个进程是音乐的存储,可根据需要编写不同的乐曲。,3.音调发生器(tone)模块的设计,音调发生器的作用是产生获得音阶的分频预置值。 当8位发声控制输入index中的某一位为高电平时,则对应某一音阶的数值将在端口tone输出,该数值即为该音阶的分频预置值,分频预置值控制数控分频器来对4MHz的脉冲进行分频,由此可得到每个音阶对应的频率。 例如输入index=“00000010”,即对应的按键是2,产生的分频系数便是6809;code输出对应该音阶简谱的显示数码;high输出指示音阶高8度,低电平有效。,4.数控分频
4、模块(speaker)的设计,数控分频模块对时基脉冲进行分频,得到与1、2、3、4、5、6、7七个音符对应频率。 该模块的VHDL程序中包含了三个进程。 首先对FPGA的32MHz的时基脉冲进行分频得到8MHz的脉冲, 然后按照tone1输入的分频系数对8MHz的脉冲再次分频,得到所需要的音符频率。 第三个进程的作用是在音调输出时再进行二分频,将脉冲展宽,使扬声器有足够发声功率。,电子琴程序设计与仿真,电子琴程序设计与仿真,1.顶层程序与仿真 2.音阶发生器程序与仿真 3.数控分频模块程序与仿真 4.自动演奏模块程序与仿真,1.顶层程序与仿真,-文件名:top.vhd -功能:顶层文件 -最后
5、修改日期:2004.3.20 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity top is Port ( clk32MHz :in std_logic; -32MHz系统时钟 handTOauto : in std_logic; -键盘输入/自动演奏 code1 :out std_logic_vector(6 downto 0); -音符显示信号 index1 :in std_logic_vector(7 downto
6、 0); -键盘输入信号 high1 :out std_logic; -高低音节信号 spkout :out std_logic); -音频信号 end top; architecture Behavioral of top is,component automusic Port ( clk :in std_logic; Auto: in std_logic; index2:in std_logic_vector(7 downto 0); index0 : out std_logic_vector(7 downto 0); end component; component tone Port
7、( index : in std_logic_vector(7 downto 0); code : out std_logic_vector(6 downto 0); high : out std_logic; tone0 : out integer range 0 to 2047); end component;,component speaker Port ( clk1 : in std_logic; tone1 : in integer range 0 to 2047; spks : out std_logic); end component; signal tone2: integer
8、 range 0 to 2047; signal indx:std_logic_vector(7 downto 0); begin u0:automusic port map(clk=clk32MHZ,index2=index1,index0=indx,Auto=handtoAuto); u1: tone port map(index=indx,tone0=tone2,code=code1,high=high1); u2: speaker port map(clk1=clk32MHZ,tone1=tone2,spks=spkout); end Behavioral;,(顶层文件仿真图),2.音
9、阶发生器程序与仿真,-文件名:tone.vhd。 -功能:音阶发生器程序。 -最后修改日期:2004.4.13。 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity tone is Port ( index : in std_logic_vector(7 downto 0); -音符输入信号 code : out std_logic_vector(6 downto 0); -音符显示信号 high : out std_lo
10、gic; -高低音显示信号 tone0 : out integer range 0 to 2047); -音符的分频系数 end tone; architecture Behavioral of tone is begin,search :process(index) -此进程完成音符到音符的分频系数译码,音符的显示,高低音阶 begin case index is when 00000001 = tone0 tone0 tone0 tone0 tone0 tone0 tone0 tone0 tone0=2047;code=0000001;high=0; end case; end proce
11、ss; end Behavioral;,(音阶发生器仿真图),3.数控分频模块程序与仿真,-文件名:speaker.vhd。 -功 能:实现数控分频。 -最后修改日期:20004.3.19。 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity speaker is Port ( clk1 : in std_logic; -系统时钟 tone1 : in integer range 0 to 2047; -音符分频系数 sp
12、ks : out std_logic); -驱动扬声器的音频信号 end speaker; architecture Behavioral of speaker is signal preclk,fullspks:std_logic; begin,pulse1:process(clk1) -此进程对系统时钟进行4分频 variable count:integer range 0 to 8; begin if clk1event and clk1=1 then count:=count+1; if count=2 then preclk=1; elsif count=4 then preclk=
13、0;count:=0; end if; end if; end process pulse1;,genspks:process(preclk,tone1) -此进程按照tone1输入的 -分频系数对8MHz的脉冲再次分频,得到所需要的音符频率 variable count11:integer range 0 to 2047; Begin if preclkevent and preclk=1 then if count11tone1 then count11:=count11+1;fullspks=1; else count11:=0;fullspks=0; end if; end if; e
14、nd process;,delaysps:process(fullspks) -此进程对fullspks进行2分频 variable count2 :std_logic:=0; begin if fullspksevent and fullspks=1 then count2:=not count2; if count2=1 then spks=1; else spks=0; end if; end if; end process; end Behavioral;,(数控分频模块仿真图),4.自动演奏模块程序与仿真,-文件名:automusic.vhd -功 能:实现自动演奏功能。 -最后修改
15、日期:2004.3.19。 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity automusic is Port ( clk,Auto : in std_logic; -系统时钟;键盘输入/自动演奏 index2 : in std_logic_vector(7 downto 0); -键盘输入信号 index0 : out std_logic_vector(7 downto 0);-音符信号输出 end automusi
16、c; architecture Behavioral of automusic is signal count0:integer range 0 to 31;-change signal clk2:std_logic; begin,pulse0:process(clk,Auto) -此进程完成对系统时钟8M的分频,得到4Hz的信号clk2 variable count:integer range 0 to 8000000; begin if Auto=1 then count:=0;clk2=0; elsif clkevent and clk=1 then count:=count+1; if
17、 count=4000000(4) then clk2=1; elsif count=8000000 (8)then clk2=0;count:=0; end if; end if; end process;,music:process(clk2) -此进程完成自动演奏部分曲的地址累加 begin if clk2event and clk2=1 then if count0=31 then count0=0; else count0=count0+1; end if; end if; end process;,com1:process(count0,Auto,index2) begin if Auto=0 then case count0 is -此case语句:存储自动演奏部分的曲 when 0 = index0 index0 index0 index0 index0 index0 index0 index0 index0 index0index0 index0 index0 index0 index0 index0 index0 index0 index0 index0=00001000; -4,when
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
评论
0/150
提交评论