基于单片机的AD0809数字电压表_第1页
基于单片机的AD0809数字电压表_第2页
基于单片机的AD0809数字电压表_第3页
基于单片机的AD0809数字电压表_第4页
基于单片机的AD0809数字电压表_第5页
已阅读5页,还剩20页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

...PAGE..可修编.--.-总结资料-zao编号:单片机综合设计实训(论文)说明书题目:ADC0809电压检测院〔系〕:信息与通信学院专业:电子信息工程学生:学号:指导教师:2021年06月24日摘要数字电压表〔DigitalVoltmeter〕简称DVM,它是采用数字化测量技术,把连续的模拟量〔直流输入电压〕转换成不连续、离散的数字形式并加以显示的仪表。目前,由各种单片A/D转换器构成的数字电压表,已被广泛用于电子及电工测量、工业自动化仪表、自动测试系统等领域,显示出强大的生命力。与此同时,由DVM扩展而成的各种通用及专用数字仪器仪表,也把电量及非电量测量技术提高到崭新水平。本次课程设计重点介绍由单片机芯片AT89S51和A/D转换器以及由它们构成的直流数字电压表的工作原理。根本要求为利用ADC0809,有8路模拟量输入,将模拟量输入转换成数字量显示出来,用单片机芯片AT89S51设计电路,实现0-10V电压测量。直流数字电压表具有以下特点:显示清晰直观,读数准确;准确度和分辨率高;测量围宽,扩展能力强;集成度高,微功耗;输入阻抗高,抗干扰能力强。关键词:数字电压表;ADC0809;AT89S51;电压测量AbstractDVM(DigitalVoltmeter)referredtoastheDVM,itistheuseofdigitalmeasurementtechnique,thecontinuousanalog(DCinput)intodiscrete,discretedigitalformanddisplayinstrument.Currently,thevariousDanpianA/Dconverterconsistingofdigitalvoltmeter,hasbeenwidelyusedinelectronicandelectricalmeasurement,industrialautomation,instrumentation,automatictestsystemsandotherareas,showingstrongvitality.Atthesametime,theDVMextensiontoavarietyofgeneralandspecialdigitalinstrumentation,butalsothepowerandnonpowermeasurementtechnologytonewlevels.ThecurriculumfocusesonthesinglechipAT89S51andA/Dconverter,andtheyconstituteaDCdigitalvoltmeterworks.BasicrequirementsfortheuseofAD0809converter,8analoginputs,theanaloginputintoadigitaldisplay,withthedesignofsinglechipAT89S51circuit,0-10Vvoltagemeasurement.DCdigitalvoltmeterwiththefollowingcharacteristics:Displayclearandintuitive,accuratereadings;accuracyandhighresolution;widemeasurementrange,expansioncapability;highintegration,micro-power;inputimpedance,highanti-interferenceability.

Keywords:digitalvoltmeter;ADC0809;AT89S51;voltagemeasurement目录引言11课题设计目的和要求12设计方案论证12.1直流数字电压表总体电路设计分析12.2系统的组成框图23硬件设计23.1ADC080923.1.1ADC0809芯片简介23.1.2ADC0809芯片的工作原理及应用说明33.1.3ADC0809的芯片性能特点53.2AT89S5153.2.1AT89S51芯片介绍53.2.2AT89S51芯片主要性能特点63.2.3AT89S51芯片管脚介绍63.3液晶显示局部83.4电路检测局部93.5切换通道电路局部94软件设计94.1程序设计流程图94.2应用程序设计105直流数字电压表设计原理框图及PCB图116数字电压表焊接安装与调试126.1电路板的焊接与安装126.2电路板的调试126.2.1调试仪器及调试方法126.2.2测试结果分析127电路出现的问题及解决方法138实训总结13辞14参考文献16附录17--引言随着电子技术的飞速开展,各种新型电子器件和集成电路应用越来越广泛,电子系统的功能越来越强大,电路图也越来越复杂,印刷电路板的走线越来越复杂和精细。技术上的要求也越来越高,我们要把各种复杂的电路设计工作变得简单一些,使得更好更复杂的电路得以实现。科学的进步要求我们在不断的实践中熟练各种制板的技术,并不断地总结经历。这次实训对我们来说非常重要,为将来的学习及毕业设计的完成奠定良好的根底!本次电子电路设计实训的目的主要是:稳固所学单片机原理及应用技术的根本理论知识以及单片机应用电路的设计方法,加强对电路图的分析和理解能力,培养实践动手能力,自己设计并做出电路板成品。从原理图的设计到PCB的布局走线,从腐蚀板子钻孔到元件的焊接,我们都要自己去完成这一切过程。使我们对电子元件及电路安装有一定的感性和理性认识;培养一定的自学、独立分析问题和解决今后工作中的实际问题的根本能力,使我们的理论知识与实践充分地结合,做到不仅具有专业知识,而且还具有较强的实践动手能力。本文主要概述了基于AD0809芯片和ATS8951芯片的直流数字电压表的相关知识及电子电路的根本知识,介绍了本次实训的过程及从中学到的电路知识、设计原理、原理图制作、电路板制成、心得等容。1课题设计目的和要求课题设计目的如下:〔1〕掌握单片机的原理以及技术应用,单片机应用电路的设计方法。〔2〕熟悉集成元件的选择和集成电路芯片的功能及使用方法。〔3〕熟悉仿真软件的使用。〔4〕掌握接线方法和腐蚀焊接技术。课程题设计要求如下〔1〕实现8路直流电压检测; 〔2〕测量电压围0-5V; 〔3〕显示指定电压通道和电压值;〔4〕用按键切换显示通道;2设计方案论证2.1直流数字电压表总体电路设计分析直流数字电压表主要由AD转换器ADC0809,单片机芯片AT89S51控制电路,液晶显示电路三局部构成。其中由ADC0809组成的转换电路,将输入的模拟量信号进展取样、转换,然后将转换的数字信号送进单片机,单片机控制电路主要实现对数据进展处理,显示电路主要用于将单片机得信号数据转换后显示测量结果。方案的主要特点是:〔1〕用液晶1602能直接准确、清晰显示所测电压数值,使整机线路简化。〔2〕采用+5V和—5V两组电源供电。〔3〕采用AT89S51单片机芯片实现整个电路控制。〔4〕显示亮度较高。〔5〕采用转换器AD0809,实现八路直流电压检测,电压测量围为0—10V,能显示指定电压通道和电压值。〔6〕电路有8条模拟量输入通道,由16个10K电阻,8组阻值为1:1构成,采用降压原理实现0V-10V电压测量。2.2系统的组成框图模拟被测电压模拟量模数转换器数字量单片机芯片程序处理液晶显示模拟被测电压模拟量模数转换器数字量单片机芯片程序处理液晶显示图1系统的原理框图3硬件设计3.1ADC08093.1.1ADC0809芯片简介ADC0809是8位逐次逼近型A/D转换器。它由一个8路模拟开关、一个地址锁存译码器、一个A/D转换器和一个三态输出锁存器组成。多路开关可选通8个模拟通道,允许8路模拟量分时输入,共用A/D转换器进展转换。三态输出锁器用于锁存A/D转换完的数字量,当OE端为高电平时,才可以从三态输出锁存器取走转换完的数据。ADC0809转换器的部构造图如图2所示图2ADC0809的部构造图3.1.2ADC0809芯片的工作原理及应用说明ADC0809的工作原理如下:IN0-IN7:8条模拟量输入通道;ADC0809对输入模拟量要求:信号单极性,电压围是0-5V,假设信号太小,必须进展放大;输入的模拟量在转换过程中应该保持不变,如假设模拟量变化太快,那么需在输入前增加采样保持电路。地址输入和控制线:4条;ALE为地址锁存允许输入线,高电平有效。当ALE线为高电平时,地址锁存与译码器将A,B,C三条地址线的地址信号进展锁存,经译码后被选中的通道的模拟量进转换器进展转换。A,B和C为地址输入线,用于选通IN0-IN7上的一路模拟量输入。通道选择表如下表所示。CBA选择的通道000IN0001IN1010IN2011IN3100IN4101IN5110IN6111IN7数字量输出及控制线:11条;ST为转换启动信号。当ST上跳沿时,所有部存放器清零;下跳沿时,开场进展A/D转换;在转换期间,ST应保持低电平。EOC为转换完毕信号。当EOC为高电平时,说明转换完毕;否那么,说明正在进展A/D转换。OE为输出允许信号,用于控制三条输出锁存器向;单片机输出转换得到的数据。OE=1,输出转换得到的数据;OE=0,输出数据线呈高阻状态。D7-D0为数字量输出线。CLK为时钟输入信号线。因ADC0809的部没有时钟电路,所需时钟信号必须由外界提供,通常使用频率为500KHZ,VREF〔+〕,VREF〔-〕为参考电压输入线,用于给电阻网络供应标准电压。+VREF常和VDD相连,-VREF常接地。ADC0809的工作原理图如图3所示:图3ADC0809工作原理图应用说明:〔1〕ADC0809部带有输出锁存器,可以与AT89S51单片机直接相连。〔2〕初始化时,使ST和OE信号全为低电平。〔3〕送要转换的哪一通道的地址到A,B,C端口上。〔4〕在ST端给出一个至少有100ns宽的正脉冲信号。〔5〕是否转换完毕,我们根据EOC信号来判断。〔6〕当EOC变为高电平时,这时给OE为高电平,转换的数据就输出给单片机了。3.1.3ADC0809的芯片性能特点它是一个逐次逼近型的A/D转换器,外部供应基准电压;单通道转换时间116us;分辨率为8位,带有三态输出锁存器,转换完毕时,可由CPU翻开三态门,读出8位的转换结果;有8个模拟量的输入端,可引入8路待转换的模拟量。ADC0809的数据输出构造是部有可控的三态缓冲器,所以它的数字量输出信号线可以与系统的数据总线直接相连。部的三态缓冲器由OE控制,当OE为高电平时,三态缓冲器翻开,将转换结果送出;当OE为低电平时,三态缓冲器处于阻断状态,部数据对外部的数据总线没有影响。因此,在实际应用中,如果转换完毕,要读取转换结果,那么只要在OE引脚上加一个正脉冲,AD0809就会将转换结果送到数据总线上。3.2AT89S51AT89S51芯片工作原理图如图4所示:图4AT89S51芯片工作原理图3.2.1AT89S51芯片介绍AT89S51是一个低功耗,高性能CMOS8位单片机,片含4kBytesISP(In-systemprogrammable)的可反复擦写1000次的Flash只读程序存储器,器件采用ATMEL公司的高密度、非易失性存储技术制造,兼容标准MCS-51指令系统及80C51引脚构造,芯片集成了通用8位中央处理器和ISPFlash存储单元,AT89S51在众多嵌入式控制应用系统中得到广泛应用。3.2.2AT89S51芯片主要性能特点〔1〕4kBytesFlash片程序存储器;〔2〕128bytes的随机存取数据存储器(RAM);〔3〕32个外部双向输入/输出〔I/O〕口;〔4〕5个中断优先级、2层中断嵌套中断;〔5〕6个中断源;〔6〕2个16位可编程定时器/计数器;〔7〕2个全双工串行通信口;〔8〕片振荡器和时钟电路;(10)与MCS-51兼容;(11)全静态工作:0Hz-33MHz;(12)三级程序存储器锁定;(13)可编程串行通道;(14)低功耗的闲置和掉电模式;3.2.3AT89S51芯片管脚介绍AT89S51芯片部管脚图如图5所示:图5AT89S51芯片管脚图管脚介绍:VCC:电源电压输入端。GND:电源地。P0口:P0口为一个8位漏级开路双向I/O口,每脚可吸收8TTL门电流。当P1口的管脚第一次写1时,被定义为高阻输入。P0能够用于外部程序数据存储器,它可以被定义为数据/地址的第八位。在FIASH编程时,P0口作为原码输入口,当FIASH进展校验时,P0输出原码,此时P0外部必须被拉高。P1口:P1口是一个部提供上拉电阻的8位双向I/O口,P1口缓冲器能接收输出4TTL门电流。P1口管脚写入1后,被部上拉为高,可用作输入,P1口被外部下拉为低电平时,将输出电流,这是由于部上拉的缘故。在FLASH编程和校验时,P1口作为第八位地址接收。P2口:P2口为一个部上拉电阻的8位双向I/O口,P2口缓冲器可接收,输出4个TTL门电流,当P2口被写“1〞时,其管脚被部上拉电阻拉高,且作为输入。并因此作为输入时,P2口的管脚被外部拉低,将输出电流。这是由于部上拉的缘故。P2口当用于外部程序存储器或16位地址外部数据存储器进展存取时,P2口输出地址的高八位。在给出地址“1〞时,它利用部上拉优势,当对外部八位地址数据存储器进展读写时,P2口输出其特殊功能存放器的容。P2口在FLASH编程和校验时接收高八位地址信号和控制信号。P3口:P3口管脚是8个带部上拉电阻的双向I/O口,可接收输出4个TTL门电流。当P3口写入“1〞后,它们被部上拉为高电平,并用作输入。作为输入,由于外部下拉为低电平,P3口将输出电流〔ILL〕这是由于上拉的缘故。P3口除了作为普通I/O口,还有第二功能:P3.0RXD〔串行输入口〕P3.1TXD〔串行输出口〕P3.2/INT0〔外部中断0〕P3.3/INT1〔外部中断1〕P3.4T0〔T0定时器的外部计数输入〕P3.5T1〔T1定时器的外部计数输入〕P3.6/WR〔外部数据存储器的写选通〕P3.7/RD〔外部数据存储器的读选通〕P3口同时为闪烁编程和编程校验接收一些控制信号。I/O口作为输入口时有两种工作方式,即所谓的读端口与读引脚。读端口时实际上并不从外部读入数据,而是把端口锁存器的容读入到部总线,经过某种运算或变换后再写回到端口锁存器。只有读端口时才真正地把外部的数据读入到部总线。89C51的P0、P1、P2、P3口作为输入时都是准双向口。除了P1口外P0、P2、P3口都还有其他的功能。RST:复位输入端,高电平有效。当振荡器复位器件时,要保持RST脚两个机器周期的高电平时间。ALE/PROG:地址锁存允许/编程脉冲信号端。当访问外部存储器时,地址锁存允许的输出电平用于锁存地址的低位字节。在FLASH编程期间,此引脚用于输入编程脉冲。在平时,ALE端以不变的频率周期输出正脉冲信号,此频率为振荡器频率的1/6。因此它可用作对外部输出的脉冲或用于定时目的。然而要注意的是:每当用作外部数据存储器时,将跳过一个ALE脉冲。如想制止ALE的输出可在SFR8EH地址上置0。此时,ALE只有在执行MOVX,MOVC指令是ALE才起作用。另外,该引脚被略微拉高。如果微处理器在外部执行状态ALE制止,置位无效。PSEN:外部程序存储器的选通信号,低电平有效。在由外部程序存储器取指期间,每个机器周期两次/PSEN有效。但在访问外部数据存储器时,这两次有效的/PSEN信号将不出现。EA/VPP:外部程序存储器访问允许。当/EA保持低电平时,那么在此期间外部程序存储器〔0000H-FFFFH〕,不管是否有部程序存储器。注意加密方式1时,/EA将部锁定为RESET;当/EA端保持高电平时,此间部程序存储器。在FLASH编程期间,此引脚也用于施加12V编程电源〔VPP〕。XTAL1:片振荡器反相放大器和时钟发生器的输入端。XTAL2:片振荡器反相放大器的输出端。3.3液晶显示局部液晶显示局部图如图6所示:图6液晶显示局部图采用液晶1602,能够16*02即32个字符〔16列2行〕。1602采用标准的16脚接口,其中,第1脚:VSS为电源地;第2脚:VDD接5V电源正极;第3脚:V0为液晶显示器比照度调整端,接地电源时比照度最高〔比照度过高时会产生“鬼影〞,使用时可以通过一个10K的电位器调整比照度〕。第4脚:RS为存放器选择,高电平1时选择数据存放器,低电平0时选择指令存放器。第5脚:RW为读写信号线,高电平1时进展读操作,低电平0时进展写操作。第6脚:E〔或EN〕端为使能端。第7-14脚:D0-D7为8位双向数据端。第15-16脚:空脚或背灯电源。15脚背光正极,16脚背光负极。3.4电路检测局部电路检测局部由电阻和发光二极管组成,接通电源,电路连接无误,灯亮。发光二极管用来检测电路是否连通。电路检测局部电路图如图7所示:图7电路检测局部电路图3.5切换通道电路局部AD0809转换器有IN0-IN7共8条模拟量输入通道;电路功能测量时,用两个大的按键开关来切换通道,实现测量。其中,一个是通过手按按键来切换,另一个按下那么实现是自动顺序切换,切换通道的电路图如图8所示:图8切换通道电路图4软件设计4.1程序设计流程图根据设计要求,结合硬件电路,在输入模拟信号时采用电阻分压,最终价的采样输入电压只有实际输入电压的二分之一,所以在变下程序时,要编写一段数据调整程序,其中还应注意硬件显示电路采用了液晶显示,液晶显示的频率有一定的要求,这就要求再编写程序时,还要考虑到显示子程序。程序设计流程图如图9所示:单片机内部的A/D转换局部液晶显示结果完毕模拟量输入开场单片机内部的A/D转换局部液晶显示结果完毕模拟量输入开场图9程序设计流程图4.2应用程序设计〔1〕程序起始地址MCS-51系列单片机复位后,〔PC〕=0000H,而0003H~002BH分别为各中断源的入口地址。所以,编写程序时,应在0000H处写一条跳转指令。当CPU接到中断请求信号并予以响应后,CPU把当前的PC容压入栈中进展保护,然后转入响应的中断效劳程序(2)AD0809时钟脉冲信号本方案中,采用软件定时的方式,该单片机的时钟频率为12MHZ,1个机器周期时间为1us,可以计算出计数初值:TC=65536-5,即有TH0=(65536-5)/256;TL0=(65536-5)%256;定时器T0以定时方式1完成定时。直流数字电压表设计原理框图及PCB图图10直流数字电压表设计原理框图图11直流数字电压表PCB图6数字电压表焊接安装与调试6.1电路板的焊接与安装电路板制作过程:第1步:利用PROTEL生成原理图,再利用网络表生成相应PCB图。第2步:将PCB图打印到热转印纸上第3步:将打印好PCB的转印纸平铺在覆铜板上,准备转印。第4步:用热转印机加温〔要很热〕将转印纸上黑色塑料粉压在覆铜板,上形成高精度的抗腐层。第5步:转印机加温加压成功转印后把断的线用油性笔连好。第6步:准备好腐蚀溶液进展腐蚀。第7步:注意不要腐蚀过度,腐蚀完毕,钻孔准备焊接。第8步:清理出焊盘局部涂松香,等松香干后可以开场焊接元件。第9步:安装所需预定原件并焊接好。6.2电路板的调试6.2.1调试仪器及调试方法可调直流电源,可调围:0~10V;10K精细可调电阻。调试方法如下:1.电压测量调试:用该表测量一电压,再用万用表测量,分别记录电压值。2.用电位器调试:首先用整数的电压测量,观察是否能正常测量;然后调节电源电压到小数量程的电压值进展测量,观察是否能正常测量。6.2.2测试结果分析1.电压测量:由测量可知该表测量电压较准确,与万用表有一定的差异应是分压电阻和切换开关的导通电阻引起的。2.切换量程测试:由测量可知切换量程功能能够实现。3.测试数据分析:液晶显示的电压值与用万用表测得的数据如下表格:测量次数12345678显示数据2.80V3.50V4.92V5.83V6.22V7.65V9.01V9.90V测量数据2.79V3.53V4.94V5.84V6.25V7.66V9.02V9.91V4.误差计算:显示数据的平均值=(2.80+3.50+4.92+5.83+6.22+7.65+9.01+9.90)/8=6.2288测量数据的平均值=(2.79+3.53+4.94+5.84+6.25+7.66+9.02+9.91)/8=6.2425误差值=(6.2288-6.2425)/6.2288=0.21%所以,在误差允许的围可以认为,测试结果还是比拟准确的。7电路出现的问题及解决方法〔1〕电路制作好后,接上电源,整个电路没任何反响。可能的问题出在电源线上,正、负被焊锡粘到一起了,造成整个电路短路。解决:使正负电源分开。〔2〕发光二极管LED灯显示不亮。问题可能出现在发光二极管坏了或是管脚出现虚焊或者是电路出现断路。解决:更换发光二极管或重新再焊一遍,或者用万用表检查电路是否出现断路。〔3〕单片机程序下载不进去。可能是做板问题,对照PCB检查电路焊接是否有误。〔4〕接通电源后,液晶显示不是很清楚。通过调节精细可调电阻,使液晶显示清晰明亮。8实训总结这次实训是表达我专业优势和特点的一次锻炼。从选题,到选原理图制版至最后的写论文。其间查找资料,教师指导,与同学交流,反复修改PCB,每一个过程都是对自己能力的一次检验和提高。通过这次实践,我了解了数字电压表的制作,工作原理及相关芯片的使用和工作原理,锻炼了自己的实际动手能力,培养了自己独立工作能力。我觉得这次实训是对我专业知识和实际动手能力的一次综合检验,同时也是为自己今后走向社会的一次热身。这次实训收获颇多,比方学会了查找有用信息跟相关资料,有用的数据,并熟悉了做板的流程和稳固了相关专业知识。与此同时,这次实训中也暴露出自己专业根底知识的很多缺乏之处以及缺乏综合应用专业知识的能力,对材料的不了解。此次实践是对自己大学两年所学的知识的一次大检阅,使我明白自己知识还很不全面。在最后的两年大学生活里,自己的求学之路还很长,以后更应该在工作实践中不断学习,努力使自己成为社会所需要的人才。这次通过对直流数字电压表的设计与制作,让我了解了单片机的原理和单片机应用电路的设计理念,要制作一个电子产品一定要严格按照原理图设计,而且最后的成品不一定要与想象的完全一样,因为在实际焊接中有着各种各样的问题,所以一定要细心,这样做出来的产品才比拟美观。在做直流数字电压表的过程中,在一次又一次的失败面前,我没有退缩,而是勇敢的面对,积极的解决,不懂的地方大胆的向教师、同学请教,一问再问直到自己弄懂为止,通过充分的运用所学知识和教师、同学的帮助,我终于取得了成功。通过亲自动手焊接、试验,遇到问题解决问题,我稳固了书本的知识,同时也学到了新的学问,明白了实践的可贵性,动手能力的提高,细心与耐心的培养,品尝自己劳动成果的喜悦,是我在这次实训中的最大的收获。辞虽然实训只有短短两个星期,但它的影响却留存长久,它让我们自己动手,品尝成功的喜悦,激发了我们对实践的兴趣与热情,在很大程度上鼓舞了我们的学习决心,它让我们做了一回成功的自己,有着一定的成就感,增强了我们的自信心,让我们以更大的勇气面对以后的学习,给了我们开拓进取的动力。在这里我要诚挚的感付强教师,一开场就给了我们充足的信心以及动力去完成这次实训,时刻提醒我们要按时做好该做的工作,做板时出现的问题也在一直帮助指导我们,自始至终都倾注着教师的心血。付教师以严谨的治学之道、宽厚仁慈的胸怀、积极乐观的生活态度,兢兢业业的工作作风和大胆创新的进取精神为我树立了学习的典,你们教导与鞭策将鼓励我在学习和生活的道路上励精图治,开拓创新。你们渊博的知识、开阔的视野和敏锐的思维给了我深深的启迪。在这里我以最诚挚的心意感教师们。感你们的指导跟鼓励让我在这次实训中能成功地完成作品,感你们在大学生活里给予我的教导,感你们不止让我学到了知识还懂得了更多做人做事的道理与态度,真的很感教师们。同时也感学院给我的这次学习锻炼的时机,让我学到了这么多的知识,增强了实践能力,得到了那么大的收获。参考文献[1]江思敏、鹏翼、胡荣.PROTEL电路设计教程.:清华大学,2003.[2][英]A.M.L鲁特金.常用电子测量仪器的使用.:电子工业,1999.[3]浩斌、汪良能、炜.数字电路与逻辑设计.:电子工业,2001.[4]沙占友、沙占为.数字万用表的原理、使用与维修.:电子工业,1988.[5]王贤勇、传申.单片机原理与接口技术应用教程.:清华大学,2004.[6]宋浩、田丰.单片机原理及应用.:交通大学,2005.[7]培仁.基于C语言编程MCS-51单片机原理与应用.:清华大学,2003.[8]何立民.MCS-51系列单片机应用系统设计.:航空航天大学,1990.附录单片机软件设计程序如下:#include<reg52.h>#defineuintunsignedint#defineucharunsignedcharsbitadd0=P3^0;sbitadd1=P3^1;sbitadd2=P3^2;sbitst=P3^3;sbiteoc=P3^4;sbitclk=P3^5;sbitkey1=P3^6;sbitkey2=P3^7;sbitrs=P2^5;sbitrw=P2^6;sbite=P2^7;ucharcodetable[]={"0123456789"};uintv,v1,v2,v3,v4,a=0,b=0;intchannel=0,mode=0;voiddelay(uintz){ while(z--);}voidwrite_(uchar){rs=0;rw=0;P0=;delay(100);e=1;delay(100);e=0;}voidwrite_dat(uchardat){rs=1;rw=0;P0=dat;delay(100);e=1;delay(100);e=0;}voidlcd1602_init(){e=0;delay(100);write_(0x38);write_(0x0c);write_(0x06);write_(0x01); write_(0x80); write_dat('c'); write_dat('h'); write_dat('a'); write_dat('n'); write_dat('n'); write_dat('e'); write_dat('l'); write_dat(''); write_(0x80+0x0f); write_dat('V');}voidkey_scan(){if(key1==0){delay(500);if(key1==0){while(!key1);channel++; if(channel==8) channel=0;}

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

最新文档

评论

0/150

提交评论