计算机组成原理-基本实验_第1页
计算机组成原理-基本实验_第2页
计算机组成原理-基本实验_第3页
计算机组成原理-基本实验_第4页
计算机组成原理-基本实验_第5页
已阅读5页,还剩37页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

计算机组成原理实验指导1第一部分TEC—5计算机组成和数字逻辑实验系统介绍

TEC-5实验系统由北京邮电大学计算机学院、清华大学科教仪器厂联合研制。它适用于《计算机组成原理》等课程的实验教学,是一种多用仪器。该仪器将提高学生的动手能力,提高学生对计算机整体和各组成部分的理解,提高学生对数字系统和计算机系统的综合设计能力。—、TEC-5实验系统的特点1.采用单板式结构、计算机模型采用8位,简单、实用。计算机模型分为数据通路、控制器、时序电路、控制台、数字逻辑实验区五部分。各部分之间采用可插、拔的导线连接。2.指令系统采用4位操作码,容纳16条指令,已实现了加、减、逻辑与、存数、取数、条件转移、10输出和停机8条指令,指令功能非常典型。其他8条指令备用。3.数据通路采用双端口存储器作为主存,实现了数据总线和指令总线双总线体制,体现了当代CPU的设计思想。24.运算器中ALU由2片74181实现。4个通用寄存器由l片ispLSll016组成。5.控制器采用微程序控制器和硬连线控制器两种类型,体现了当代计算机控制器设计技术的完备性。6.控制存储器中的微代码可以通过PC机下载,省去插、拔ROM芯片。7.实验台上提供了一片在系统编程器件ispLSll032,学生在PC机设计好组合逻辑控制器方案后下载到ispLSll032中,ispLSll032就构成了新的控制器。控制器的设计并实现对提高计算机综合设计能力会有很大帮助。ispLSll032也可用于数字逻辑和数字系统的设计。8.控制台包含8个数据开关,用于置数功能;16个双位开关,用于置信号电平;控制台有复位和启动二个单脉冲发生器,有单拍、单步二个开关。控制台有5种操作:写存储器,读存储器,读寄存器,写寄存器,启动程序运行。39.微程序控制器中的微代码输出、微地址总线、程序地址总线、数据总线、存储器地址总线、进位、双端口存储器的读、写冲突位BUSYLg和BUSYR~等都有指示灯,便于查看指令的执行过程。10.数字逻辑和数字系统实验部分除上述可用的一片ISPl032、16个电平开关和2个单脉冲按钮(复位和启动)外,还有12个指示灯,11个双列直插插座,5个8432编码驱动的数码管,1个直接驱动的数码管,1个喇叭。时钟信号源有500KHz,50KHz,11.电源部分具有抗电源对地短路能力。二、TEC-5实验系统的组成

TEC-5实验系统由以下几个部分组成:控制台、数据通路、控制器、时序电路、数字逻辑实验区、电源模块下面分别对各组成部分予以介绍。4三、电源电源部分由一个电源、一个电源插座、一个电源开关和一个红色电源指示灯组成。电源通过四个螺钉安装在实验箱底部,它输出+5V电压,最大负载电流3A,具有抗+5V对地短路功能。电源插座用于接交流220V,插座内装有保险丝。电源开关接通时,模块电源输出+5V,红色指示灯点亮。5四、时序发生器时序发生器产生计算机模型所需的时序和数字逻辑实验所需的时钟。时序电路由一个500KHz晶振、2片GAL22V10(U63、U65)、一片74LS390(U64)组成。根据本机设计,执行一条微指令需要4个节拍脉冲T1、T2、T3、T4,执行一条机器指令需要三个节拍电位Wl、W2、W3,因此本机的基本时序如下:6图1.1基本时序图图中,MF是晶振产生的500KHz基本时钟,T1、n、T3、T4是数据通路和控制器中各寄存器的节拍脉冲信号,印制板上已将它们和相关的寄存器相连。T1、T2、T3、T4既供微程序控制器使用,也供硬连线控制器使用。W1、W2、W3只供硬连线控制器做节拍电位信号使用。另外,供数字逻辑实验使用的时钟50KHz和5KHz由MF经一片74LS390分频后产生。7五、数据通路

TEC-5的数据通路采用了数据总线和指令总线双总线形式。它还使用了大规模在系统编程器件作为寄存器堆,使得设计简单明了,可修改性强。图1.2是数据通路总体图,下面介绍图中各个主要部件的作用。81.运算器ALU

运算器ALU由两片74LSl81(U31和U35)组成,其中U35进行低4位运算,U31进行高4位运算。在选择端M和S0-S3控制下,ALU对数据A、B进行各种算术、逻辑运算。有关74181运算的具体操作,请看74181的资料和教科书。当LDRi=I时,在T3的上升沿寄存器C(U20A)保存运算产生的进位标志信号。2.运算操作数寄存器DRl和DR2(U24、U25)DRl(U24)和DR2(U25)是运算操作数寄存器,DRl和ALU的A口相连,DR2和ALU的B口相连。DRl和DR2各由一片74LS273构成。当LDDRl/LDDR2:1时,在T2上升沿,DRl/DR2接收来自通用寄存器堆A/B端口的数据。910运算器74181通用寄存器系统编程器时序发生器双端口存储器RAM地址寄存器地址指示灯数据总线指示灯PC指示灯指令总线指示灯C进位标志指示灯微动开关BUSYL#指示灯BUSYR#指示灯电源11第2部分计算机组成原理实验运算器组成实验一、实验目的1、熟悉双端口通用寄存器堆的读写操作。2、熟悉简单运算器的数据传送通路。

3、验证运算器74LSl81的算术逻辑功能。

4、按给定数据,完成指定的算术、逻辑运算。二、实验电路12二实验电路

13SN74181框图(1)DM74LS181N外特性图中AB为ALU两个数据输入端,F为输出结果,M,S为功能选择控制输入端,C为进位输入,G,P为输出小组进位辅助函数。ALU介绍14

ALU单元逻辑设计,可构造并行进位链,X输出中包含进位传递函数P,Y输出包含进位产生函数G.S组合分别控制X,Y与或非门输出。其逻辑关系如表3-1所示。S3S2XiS1S0Yi00100Ai01Ai+01AiBi10Ai+Bi10Ai11Ai110表3-1一位ALU单元的输入选择逻辑

选择不同的控制信号Si,可获得不同的输出Fi,以实现不同的运算功能。15工作方式选择S3S2S1S0逻辑运算M=1算术运算M=0工作方式选择S3S2S1S0逻辑运算M=1算术运算M=00000A减11000A加(A+B)0001AB减11001A加B0010+BA减11010BAB加(A+B)0011逻辑1全11011A+BA+B0100A加(A+B)1100逻辑0全00101AB加(A+B)1101AB加A0110A加B1110ABAB加A0111A+B1111AA(3)74LS181功能表(实现16种算术运算与16种逻辑运算功能)16图示出了本次实验所用的运算器数据通路图。参与运算的数据首先通过实验台操作板上的八个二进制数据开关SW7-SW0来设置,然后输入到双端口通用寄存器堆RF中。17

RF(U54)由一个ispLSll016实现,功能上相当于四个8位通用寄存器,用于保存参与运算的数据,运算后的结果也要送到RF中保存。18双端口寄存器堆模块的控制信号中,RSl、RS0用于选择从B端口(右端口)读出的通用寄存器,RDl、RD0用于选择从A端口(左端口)读出的通用寄存器。而WRl、WR0用于选择写入的通用寄存器。LDRi是写入控制信号,当LDRi二1时,数据总线DBUS上的数据在T3写入由WRl、WR0指定的通用:寄存器。RF的A、B端口分别与操作数暂存器DRl、DR2相连;另外,RF的B端口通过一个三态门连接到数据总线DBUS上,因而RF中的数据可以直接通过B端口送到DBUS上。

19DRl(U46)和DR2(U47)各由1片74LS273构成,用于暂存参与运算的数据。DRl接ALU的A输入端口,DR2接ALU的B输入端口。20ALU(U55、U60)由两片74LSl81构成,ALU的输出通过一个三态门(74LS244)发送到数据总线DBUS上。21实验台上的八个发光二极管DBUS7-DBUS0显示灯接在DBUS上,可以显示输入数据或运算结果。另有一个指示灯C显示运算器进位标志信号状态。22图中尾巴上带粗短线标记的信号都是控制信号,其中S3、S2、S1、S0、M、Cn0、LDDRl、LDDR2、ALUBUS#、SW.BUS#、LDRi、RSl、RS0、RDl、RD0、WRl、WR0都是电位信号23在本次实验中用拨动开关K0--K15来模拟;T2、T3为时序脉冲信号,印制板上已连接到实验台的时序电路。实验中进行单拍操作,每次只产生一组T1、T2、T3、T4时序脉冲,需将实验台上的DP、DB开关进行正确设置。将DP开关置1,DB开关置0,每按一次QD按钮,则顺序产生T1、T2、丁3、T4一组单脉冲。

24三、实验设备

1.TEC-5计算机组成实验系统1台。

2.逻辑测试笔一支(在TEC-5实验台上).

3.双踪示波器一台(公用)4.万用表一只(公用)25四、实验任务

1.按图示,将运算器模块与实验台操作板上的线路进行连接。由于运算器模块内部的连线已由印制板连好,故接线任务仅仅是完成数据开关、控制信号模拟开关、与运算器模块的外部连线。注意:为了建立清楚的整机概念,培养严谨的科研能力,手工连线是绝对必要的。

2.用开关SW7一SW0向通用寄存器堆R1=内的R0--R3寄存器置数。然后读出R0—R3的内容,在数据总线DBUS上显示出来。

3.验证ALU的正逻辑算术、逻辑运算功能。令DRl=55H,DR2=OAAH,Cn#=l。在M---0和M=I两种情况下,令S3一S0的值从0000B变到1111B,列表表示出实验结果。实验结果包含进位C,进位C由指示灯显示。注意:进位C是运算器ALU最高位进位Cn+4~的反,即有进位为1,无进位为0。26五、实验要求1.做好实验预习,掌握运算器的数据传输通路及其功能特性,并熟悉本实验中所用的模拟开关的作用和使用方法。2.写出实验报告,内容是:(1)实验目的。(2)按实验任务3的要求,列表表示出实验结果。(3)按实验任务4的要求,在表中填写各控制信号模拟开关值,以及运算结果值。27六、实验步骤和结果(1)实验任务2的实验步骤和结果如下:(假定令R0=34H,R1=21H,R2=52H,R3=65H)

1、

置DP=1,DB=0,编程开关拨到正常位置.

接线表如下:数据通路WR0WR1RS0RS1SW_BUS#RS_BUS#LDRi电平开关K0K1K2K3K4K5K62、打开电源(以下是将34H,21H,52H,65H分别写入R0,R1,R2,R3)3、置K0(WR0)=0,K1(WR1)=0,K4(SW_BUS#)=0,K5(RS_BUS#)=1,K6(LDRi)=1,SW7-SW0=34H.

在DBUS上将观察到DBUS=34H.按QD按钮,将34H写入R0.

28(以下是在DBUS总线上显示R0,R1,R2,R3的值)7、置K2(RS0)=0,K3(RS1)=0,K4(SW_BUS#)=1,K5(RS_BUS#)=0,K6(LDRi)=0.

在DBUS上将观察到DBUS=34H.

8、置K2(RS0)=1,K3(RS1)=0,K4(SW_BUS#)=1,K5(RS_BUS#)=0,K6(LDRi)=0.

在DBUS上将观察到DBUS=21H.

9、置K2(RS0)=0,K3(RS1)=1,K4(SW_BUS#)=1,K5(RS_BUS#)=0,K6(LDRi)=0.

在DBUS上将观察到DBUS=52H.

10、置K2(RS0)=1,K3(RS1)=1,K4(SW_BUS#)=1,K5(RS_BUS#)=0,K6(LDRi)=0.

在DBUS上将观察到DBUS=65H.

11、关断电源,拆线

29实验电路原理分析

四个8位通用寄存器RD1,RD0选择A端口读出RS1,RS0选择B端口读出WR1,WR0选择写入写入控制1允许八D触发器暂存器1允许八D触发器暂存器1允许1允许30(2)实验任务3的实验步骤和结果如下:1、

置DP=1,DB=0,编程开关拨到正常位置.接线表如下:数据通路WR0WR1RD0RD1RS0RS1

LDRi电平开关K0K1K2K3K4K5K6

数据通路LDDR1LDDR2S0S1S2S3M电平开关K7K7K8K9K10K11K12

数据通路ALU_BUS#SW_BUS#电平开关K13K14数据通路的信号Cn#接VCC312、打开电源(以下2条是向R0写入55H,向R1写入0AAH)3、置K0(WR0)=0,K1(WR1)=0,K6(LDRi)=1,K13(ALU_BUS#)=1,K14(SW_BUS#)=0.

置SW7-SW0为55H,按QD按钮,将55H写入R0.32R0写入55H

K0=0K1=0K6=1K13=1K14=00101010101010101334、置K0(WR0)=1,K1(WR1)=0,K6(LDRi)=1,K13(ALU_BUS#)=1,K14(SW_BUS#)=0.

置SW7-SW0为0AAH,按QD按钮,将0AAH写入R1.

34R1写入0AAH

K0=1K1=0K6=1K13=1K14=0101010101010101035

(以下1条是将R0写入DR1,将R1写入DR2)5、置K2(RD0)=0,K3(RD1)=0,K4(RS0)=1,K5(RS1)=0,K6(LDRi)=0,K7(LDDR1和LDDR2)=1.

按QD按钮,将R0写入DR1,将R1写入DR2.这时DR1=55H,DR2=0AAH.

36将R0写入DR155H将R1写入DR2AAHK2=0K3=0K4=1K5=0K6=00101010110101010K7=1K7=137(以下2条是M=H时进行逻辑运算)6、置K6(LDRi)=1,K7(LDR1和LDR2)=0,K8(S0)=0,K9(S1)=0,K10(S2)=0,K11(S3)=0,

K12(M)=1,K13(ALU_BUS#)=0,K14(SW_BUS#)=1.在数据总线DBUS上观察到逻辑运算结果0AAH.

按QD按钮,观察到进位C为0.

7、其他开关不变,只改变K8(S0),K9(S1),K10(S2),K11(S3)的设置,观察其他15种逻辑运算结果,

并按QD按钮,观察进位C.

38M=H逻辑运算K12(M)=1K6=10101010110101010K7=0K7=00000K13=0K14=11010101039M=H逻辑运算K12(M)=1K6=10101010110101010K7=0K7=00001K13=0K14=1XXXXXXXX40(以下2条是M=L

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论