EDA实验报告-模4计数器实验报告_第1页
EDA实验报告-模4计数器实验报告_第2页
EDA实验报告-模4计数器实验报告_第3页
EDA实验报告-模4计数器实验报告_第4页
EDA实验报告-模4计数器实验报告_第5页
已阅读5页,还剩3页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

实验一模4计数器以及软硬件熟悉掌握一、实验目的 熟悉掌握软硬件平台,并且用逻辑图设计模4计数器。二、实验内容1.参照3.2.4逻辑图设计模4计数器。三、实验方法1)实验方法:采用基于FPGA进行数字逻辑电路设计的方法。采用的软件工具是QuartusII软件仿真平台,采用的硬件平台是AlteraEPF10K20TI144_4的FPGA试验箱。2)实验步骤:1、建立新工程。打开QuartusII软件平台,点击File中得NewPeojectWizar的建立一个工程,为此工程建议一个目录文件,并为此工程及文件命名,命名的名字须与实体名一致。2、按照实验箱上FPGA的芯片名更改编程芯片的设置。操作是点击Assign/Device,选取芯片的类型,本次采用AlteraEPF10K20TI144_4。3,为此次工程选取合适的EDA工具以及采用的合适VHDL语言。本次实验采用DesignCompiler,ModelSim_Altrea,和Custom,分别采用EDIF形式,VHDL形式,和VHDL形式4.编写源代码。点击File中的New,选择弹出选项框内的DesignFile下的VHDLFile,创建一个vhd格式文件,并输入编写的源代码。4、编译与调试。确定源代码文件为当前工程文件,在保存后,点击Processing下的StartCompilation进行文件全编译。编译结果有错误或警告,则将要调试修改直至文件编译成功。5、时序仿真。选着在编译成功弹出的文件框CompilationReport_FlowSummary。于框内选择AnalyzerTiming文件下的summary,可看到时序仿真结果。4、波形仿真及验证。在编译成功后,点击File中的New,选择弹出选项框内的Verification/DeguggingFiles下的VertorWaveformFile开始设计波形。于波形框鼠标反键,点击“insertthenode”,于弹出框内点击NodeFinder,按照程序所述插入enable,detain,dataout三个节点(enable,detain为输入节点,dataout为输出节点)(具体先把fitter改为Pin:all,之后点击list,再点击“>>”键即可)。任意设置enable,detain的输入波形…点击保存按钮保存,之后选择Processing下的StartCompilationr进行一次全编译,带编译成功后,点击图样按键,进行波形仿真。6、FPGA芯片编程及验证。点击Assignment中的pins进行节点设置,接着使用Processing下的StartCompilation编译一次。再点击TOOLS选择Programmer,之后在Hrardware中选择正确的合适的硬件,点击Start,进行下载。使用FPGA实验箱进行验证。7,设计逻辑图时,在quartusii界面的左上角小窗口切换至Files界面小窗口,反击刚才生成的vhd文件,点击Createsymbolfilesforcurrentfile,将会生成新的一个逻辑单元。或者使用逻辑图设计反法:8,在File下点击New中的BlockDiagram选项,进入逻辑图设计界面,在界面窗口反击,选择symbol,进行逻辑单元的选择,之后并为起命名,最后进行逻辑单元的连线。并编译,仿真。四、实验过程编译过程逻辑图:模4计数器:b)结果分析及结论在编译,调试过程很容易出现头文件为定义错误,应注意工程及文件命名,命名的名字最好与实体名一致,注意逻辑单元的摆放和连接;波形仿真波形仿真波形图1)功能仿真:2)时序仿真:c)结果分析及结论波形仿真应注意波的时间周期最好不要太短,最好是一般芯片的运行周期(如:40ns)的倍数。功能仿真需要制定功能网格。时序分析a)时序分析过程在QuartusII的第一次对源代码全编译StartCompilationr时即可完成。b)时序分析图Programming芯片编程a)芯片编程过程点击Assignment中的pins进行节点设置,接着使用Processing下的StartCompilation编译一次(必须)。再点击TOOLS选择Programmer,之后在Hrardware中选择正确的合适的硬件,点击Start,进行下载。使用FPGA实验箱进行验证。b)编程芯片验证结果模4计数器:Q:00->01->10->11->00…c)结果分析与结论注意在设置节点结束后一定得进行一次StartCompilation编译,否则下载不会成功。五、实验结论实验过程中经过老师的指导对QuartusII的操作有了更深的认识和了解。输入波形时需要了解设置波周期的原因和意义(逻辑关系),以及设置不当可能引起的错误。可以验证到下载后Q的显示过程,与波形上的时序逻辑一致。思考题:3)QuartusII软件如何复用Maxplus工程文件?答:在需要其工程时,在Libraries列表选择Others->Maxplus2,寻找其需要名字即可。4)如何获取工程所占用的资源情况(逻辑单元和管教)?答:在进行一次全编译后,可以在其FLOWSUMMARY里找到其资源占用情况;5)图形输入法时有哪些方法可以提高连线的效率?答:选用正确的线,有合适的命名。充分利用命名法(如:name[x])6)以3选1多路选择器为例,分析编译工具中的AnalyzeCurrentFile,StartAnalysis&Elaboration,StartAnalysis&Synthesis和StartCompilation的时间开销?答:点击Processing->start,然后选择各项分析或者编译,即可得出其时间开销。7)解释功能仿真和时序仿真的流程是什么,区别在哪?答:功能仿真:选择菜单Processing->Generate->FunctionalSimulationNetlist,产生功能仿真网表。再选择Assignments->Setting,与SimulatorSetting选项中,在Simulationmode下拉表中选择Funtional,并制定Simulationinout波形激励文件最后选择Processing->StartSimulation,结束后查看结果验证。时序仿真大致与功能仿真相同,只需要在Simulationmode下拉表中选择T

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论