输入输出接口90课件_第1页
输入输出接口90课件_第2页
输入输出接口90课件_第3页
输入输出接口90课件_第4页
输入输出接口90课件_第5页
已阅读5页,还剩110页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

第8章输入输出接口1主要内容基本输入输出接口的特点简单接口芯片的应用两种可编程数字接口芯片的应用工业闭环控制系统概述模拟量输入输出接口芯片的功能及应用

2§8.1简单数字接口电路掌握:接口电路的分类及特点;两类简单接口芯片的应用3接口的基本构成数据线控制线状态线DBCBAB数据输入寄存器(or三态门)数据输出寄存器(锁存器)状态寄存器(or三态门)命令寄存器译码电路控制逻辑5接口的基本构成数据输入/输出寄存器——暂存输入/输出的数据命令寄存器——存放控制命令,用来设定接口功能、工作参数和工作方式。状态寄存器——保存外设当前状态,以供CPU读取。6外设接口输入接口输出接口并行接口串行接口数字接口模拟接口7二、基本输入接口三态门接口:高电平、低电平、高阻态9三态门的工作波形:A0~A15IOR译码输出D0~D7开关状态地址有效1074LS244接口含8个三态门的集成电路芯片不具备数据的保存能力在外设具有数据保持能力时用来输入接口P341图11三态门接口应用例D0~D7A0A12•••WEOEREADY/BUSY•••A0A12MEMWMEMR高位地址信号D0~D7D0380HCE译码IORA0~

A12译码13锁存器接口通常由D触发器构成;特点:具有对数据的锁存能力;不具备对数据的控制能力14常用锁存器芯片74LS2738D触发器,不具备数据的控制能力74LS374含有8个带有三态输出的8D触发器,具有对数据的控制能力P343图例15简单I/O接口综合应用例根据开关状态在7段数码管上显示数字或符号设输出接口的地址为F0H设输入接口地址为F1H当开关的状态分别为0000~1111时,在7段数码管上对应显示’0’~’F’17O1I1O2I2O3I3O4I4E1

K0~K3+5VGG2AG2BCBA≥174LS244D0Q0|Q1D7Q2Q3Q4CPQ5Q6Q7

abcdefgDP7406反相器74LS273Rx8≥174LS138D0~D7IOW#IOR#Y0Y1F0H=0000000011110000F1H=0000000011110001&≥1A7~A4A15~A8A3A2A1A0D0D1D2D3译码器18符号形状7段码.gfedcba符号形状7段码.gfedcba’0’’8’’1’’9’’2’’A’’3’’B’’4’’C’’5’’D’’6’’E’’7’’F’19§8.2

可编程数字接口芯片掌握:芯片的引线及内部结构工作方式及工作时序芯片的应用:

芯片与系统的连接芯片的初始化编程21一、可编程定时器825316位硬件减法计数器含三个独立定时/计数器最大计数初值为0内部结构框图22主要引线功能连接系统端的主要引线:D0----D7CSRDWRA0,A1

A1A000CNT001CNT110CNT211控制寄存器23结构特点计数器控制寄存器控制逻辑16位初值寄存器16位计数寄存器存放8位控制命令字25计数启动方式软件启动硬件启动GATE端为高电平置入计数初值后的第2个CLK脉冲的下降沿启动GATE端有一个上升沿对应CLK脉冲的下降沿启动26工作方式方式4方式5软件启动,不自动重复计数。装入初值后输出端变高电平,计数结束输出一个CLK宽度的低电平硬件启动,不自动重复计数波形与方式4相同29控制字用于确定各计数器的工作方式格式308253的应用与系统的连接芯片初始化置计数初值编程31与系统的连接示意CLKGATEOUTD0~D7WRRDA1A0CSDBIOWIORA1A0译码器高位地址外部电路825332初始化程序流程写控制字写计数值低8位写计数值高8位写入顺序:可以计数器为单位,也可先写所有计数器控制字,再写入初值338253应用例采用8253作定时/计数器,其接口地址为0120H~0123H。要求计数器0每10ms输出一个CLK脉冲宽的负脉冲;用计数器1产生10KHz的连续方波信号,计数器2在定时5ms后产生输出高电平。输入8253的时钟频率为2MH。画线路连接图,并编写初始化程序。348253应用例计算计数初值:CNT0:10ms/0.5us=20000CNT1:2MHz/10KHz=200CNT2:5ms/0.5us=10000确定控制字:CNT0:方式2,16位计数值CNT1:方式3,低8位计数值CNT2:方式0,16位计数值358253应用例CLK0GATE0OUT1D0~D7WRRDA1A0CSDBIOWIORA1A0译码器8253CLK2GATE1GATE2+5VCLK12MHzOUT0OUT2?368253应用例初始化程序CNT0:MOVDX,0123HMOVAL,34HOUTDX,ALMOVDX,0120HMOVAX,20000OUTDX,ALMOVAL,AHOUTDX,ALCNT1:……CNT2:……37二、并行接口8255特点:含3个独立的8位并行输入/输出端口,各端口均具有数据的控制和锁存能力可通过编程,设置各端口工作在某一确定状态下。38引线连接系统端的主要引线:D0----D7CSRDWRA0,A1

REASTA1A000A端口01B端口10C端口11控制寄存器39引线连接外设端的引脚:PA0——PA7PB0——PB7PC0——PC7分别对应A、B、C三个端口40结构A组B组

端口A端口C的高4位端口B端口C的低4位418255与系统的连接示意图D0~D7WRRDA1A0CSDBIOWIORA1A0译码器8255A口B口C口D0~D7外设42工作方式基本输入/输出方式(方式0)选通工作方式(方式1)双向传送方式(方式2)43方式0:相当于三个独立的8位简单接口各端口既可设置为输入口,也可设置为输出口,但不能同时实现输入及输出C端口可以是一个8位的简单接口,也可以分为两个独立的4位端口常用于连接简单外设(适于无条件或查询方式)44方式0的应用:常使A端口和B端口作为8位数据的输入或输出口,使C口的某些位作状态输入45方式1利用一组选通控制信号控制A端口和B端口的数据输入输出A口、B口作输入或输出口,C口的部分位用作选通控制信号A口、B口在作为输入和输出时的选通信号不同输入输出46方式1的应用方式1主要用于中断控制方式下的输入输出C口的8位除用作选通信号外,其余位可工作于方式0下,作为输入或输出口47方式2双向输入输出方式-------可以既作为输入口,又作为输出口只有A端口可工作在方式2下48方式2的应用可使A端口作为双向端口所有用于中断控制方式当A口工作于方式2时,B口可工作于方式1(此时C口的所有位都用作选通控制信号的输入输出),也可工作于方式0(此时C口的剩余位也可工作于方式0)49方式控制字及状态字利用软件编程确定3个端口工作于何种方式下;C端口可以按位操作。当其工作于方式0下且作为输出口时,需要对输出线设置初始状态(即初始化)。50方式控制字与状态字格式控制字--------确定3个端口的工作方式状态字--------确定C口某一位的初始状态518255芯片的应用芯片与系统的连接芯片的初始化相应的控制程序例7-3528255应用例2:利用8255实现开关检测和继电器控制电路;当开关K闭合时,使8个继电器通电动作;系统每隔100ms检测一次开关状态,实现相应的控制;初始状态下继电器都不动作。53题目分析采用中断控制方式(每100ms中断一次)使8255的A端口和B端口均工作于方式08253计数器0和计数器1均工作于方式3,利用OUT0的输出作为计数器1的时钟信号,使OUT0输出频率为2KHz,OUT1输出频率为10Hz。用OUT1信号作为中断源。8253两个计数器的计数初值分别为:CNT0:2MHz/2KHz=1000CNT1:100ms/0.5ms=20054CSA0A1WRRDDBPA0PA7•••PB0PB7•••+5VVccK继电器×8384H~387H388H~38BHCSA0A1OUT1CLK12MHz时钟脉冲CLK0OUT0•••INTR(每秒10次)82558253D0-D7IOR#IOW#A1A0RQR558255的初始化程序MOVDX,387HMOVAL,82H;10000010OUTDX,ALXORAL,AL;所有继电器均断电MOVDX,384HOUTDX,AL568253的初始化程序初始化命令字:MOVDX,38BHMOVAL,36HOUTDX,ALMOVAL,56HOUTDX,AL置计数初值:MOVDX,388HMOVAX,2000OUTDX,ALMOVAL,AHOUTDX,ALMOVDX,389HMOVAL,200OUTDX,AL578255的中断服务程序(主程序及中断初始化部分略)……MOVDX,385H;PB口输入开关状态WAIT0:INAL,DXANDAL,1;K闭合否?JNZWAIT0MOVDX,384H;PA口控制继电器MOVAL,0FFH;所有继电器动作OUTDX,AL……58数字接口电路部分作业:8.18.38.58.78.108.11*59§8.3

模拟量输入输出接口主要内容:模拟量输入输出通道的组成D/A转换器的工作原理、连接及编程A/D转换器的工作原理、连接及编程60一、模拟量输入输出通道模拟量的输入通道:

将工业现场的模拟信号或非电的物理信号转换为计算机的标准输入信号——

数据采集模拟量的输出通道将计算机输出的数字信号转换为模拟量以驱动生产现场的执行器件——

过程控制61模拟量I/O通道的组成:模拟接口电路的任务模拟电路的任务工业生产过程传感器放大滤波多路转换&采样保持A/D转换放大驱动D/A转换输出接口微型计算机执行机构输入接口物理量变换信号处理信号变换I/O接口输入通道输出通道62模拟量I/O接口模拟量D/A传感器执行元件A/D数字量数字量模拟量模拟量输入(数据采集)模拟量输出(过程控制)计算机63二、数/模(D/A)变换器掌握:D/A变换器的工作原理D/A变换器的主要技术指标DAC0832的三种工作模式DAC0832的应用641.D/A变换器的基本构成模拟开关电阻网络运算放大器权电阻网络R-2R梯形电阻网络VrefRf

模拟开关电阻网络VO数字量∑652.基本变换原理运放的放大倍数足够大时,输出电压VO与输入电压Vin的关系为:ViRf

VO∑R

66基本变换原理若输入端有n个支路,则输出电压VO与输入电压Vi的关系为:ViRf

VO∑R1Rn…67基本变换原理令每个支路的输入电阻为2iR,并令基准电压Vref=(Rf/Ri)Vi,则有68基本变换原理如果每个支路由一个开关Si控制,Si=1表示Si合上,Si=0表示Si断开,则上式变换为若Si=1,该项对VO有贡献;若Si=0,该项对VO无贡献69权电阻网络:2R4R8R16R32R64R128R256RVrefRf

VOS1S2S3S4S5S6S7S8这里,上式中的n=870基本变换原理如果用8位二进制代码来控制图中的S1——S8(Di=1时Si闭合;Di=0时Si断开),则不同的二进制代码就对应不同输出电压VO;当代码在0~FFH之间变化时,VO相应地在0~-(255/256)Vref之间变化;为控制电阻网络各支路电阻值的精度,实际的D/A转换器采用R-2R梯形电阻网络,它只用两种阻值的电阻(R和2R)。713.主要技术指标分辨率(Resolution)输入的二进制数每±1个最低有效位(LSB)使输出变化的程度。可用输入数字量的位数来表示,如8位、10位等;也可用一个LSB使输出变化的程度来表示。LSB——LeastSignificantBit72分辩率一个满量程为5V的10位D/A变换器,±1LSB的变化将使输出变化5/(210-1)=5/1023=0.04888V=48.88mV73转换精度(误差)实际输出值与理论值之间的最大偏差可用最小量化阶⊿来度量:

⊿=±1/2LSB也可用满量程的百分比来度量:如0.05%FSR(FSR-FullScaleRange)74转换时间从开始转换到与满量程值相差±1/2LSB所对应的模拟量所需要的时间。tV1/2LSBtCVFULL754.

DAC0832特点:8位电流输出型D/A转换器T型电阻网络差动输出76DAC0832的内部结构:77主要引线功能输入寄存器控制信号:D7~D0:输入数据线ILE:输入锁存允许CS:片选信号WR1:写输入锁存器78主要引线功能用于DAC寄存器的控制信号:WR2:写DAC寄存器XFER:允许输入锁存器的数据传送到DAC寄存器79主要引线功能其它引线:VREF:参考电压。

-10V~+10V,一般为+5V或+10VIOUT1、IOUT2:D/A转换差动电流输出。用于连接运算放大器的输入Rfb:内部反馈电阻引脚,接运放输出AGND、DGND:模拟地和数字地

80工作时序写输入寄存器写DAC寄存器81工作模式单缓冲模式双缓冲模式无缓冲模式82单缓冲模式使输入锁存器或DAC寄存器二者之一处于直通,即芯片只占用一个端口地址。CPU只需一次写入即开始转换。写入数据的程序为:MOVDX,PORTMOVAL,DATAOUTDX,AL83双缓冲模式(标准模式)对输入寄存器和DAC寄存器均需控制;当输入寄存器控制信号有效时,数据写入输入寄存器中;再在DAC寄存器控制信号有效时,数据才写入DAC寄存器,并启动变换;此时芯片占用两个端口地址;优点:数据接收与D/A转换可异步进行;可实现多个DAC同步转换输出特点:分时写入、同步转换84双缓冲模式同步转换例85双缓冲模式的数据写入程序MOVAL,data

MOVDX,port1

0832-1的输入寄存器地址OUTDX,ALMOVDX,port2

0832-2的输入寄存器地址OUTDX,ALMOVDX,port3

DAC寄存器地址OUTDX,ALHLT86无缓冲器模式使内部的两个寄存器都处于直通状态。模拟输出始终跟随输入变化。不能直接与数据总线连接,需外加并行接口(如74LS373、8255等)。875.

D/A转换器的应用信号发生器

用于闭环控制系统向D/A转换器写入某种按规律变化的数据,即可在输出端获得相应的各种波形88三、模/数(A/D)转换器主要内容:A/D转换器的一般工作原理;A/D转换器的主要技术指标;A/D转换器的应用与系统的连接数据采集程序的编写891.A/D转换器用途用于将连续变化的模拟信号转换为数字信号的装置,简称ADC,是模拟系统与计算机之间的接口部件。902.A/D转换器类型计数型A/D转换器

——速度慢、价格低,适用于慢速系统双积分型A/D转换器

——分辩率高、抗干扰性好、转换速度慢,适用于中速系统逐位反馈型A/D转换器

——转换精度高、速度快、抗干扰性差91逐位反馈型A/D转换器原理:类似天平称重量时的尝试法,逐步用砝码的累积重量去逼近被称物923.主要技术指标转换精度

量化误差非线性误差其它误差总误差=各误差的均方根影响精度的误差93量化间隔一个最低有效位对应的模拟量,即

△=Vmax/(2n-1)例:某8位ADC的满量程电压为5V,则其分辨率为:

5V/255=19.6mV94量化误差绝对量化误差=1/2△相对量化误差=(1/2)х1LSBх100%95量化误差例:

设满量程电压=10V,A/D变换器位数=10位,则:

绝对量化误差≈10/211=4.88mV相对量化误差≈1/211*100%=0.049%96转换时间实现一次转换需要的时间精度越高(字长越长),转换速度越慢。97输入动态范围允许转换的电压的范围如0~5V、0~10V等。984.

ADC08098通道(8路)输入8位字长逐位逼近型转换时间100μs内置三态输出缓冲器99主要引脚功能D7~D0:输出数据线(三态)IN0~IN7:8通道(路)模拟输入ADDA、ADDB、ADDC:通道地址ALE:通道地址锁存START:启动转换EOC:转换结束状态输出OE:输出允许(打开输出三态门)CLK:时钟输入(10KHz~1.2MHz)100STARTEOCCLKOED7D0VREF(+)VREF(-)ADDCADDBADDAALEIN0IN7比较器8路模拟开关逐位逼近寄存器SAR树状开关电阻网络三态输出锁存器时序与控制地址锁存及译码D/A8个模拟输入通道8选1内部结构:101工作时序102ADC0809工作过程送通道地址,以选择要转换的模拟输入;锁存通道地址到内部地址锁存器;启动A/D变换;判断转换是否结束;读转换结果103ADC0809的应用芯片与系统的连接编写相应的数据采集程序104芯片与系统的连接模拟输入端Ini:单路输入时ADDCADDBADDAIN4ADC0809输入多路输入时ADDCADDBADDAIN0IN1IN2IN3IN4ADC0809输入0输入1输入2输入3输入4CPU指定通道号+5V105通道地址线的连接多路输入时,地址线不能接死,要通过一个接口芯片与数据总线连接。接口芯片可以选用:

简单接口芯片74LS273,74LS373等(占用一个I/O地址)可编程并行接口8255(占用四个I/O地址)106通道地址线的连接ADDCADDBADDAIN0IN1IN2IN3IN4ADC0809输入DB74LS273Q2Q1Q0CP来自I/O译码D0-D7ADDCADDBADDAIN0IN1IN2IN3IN4ADC0809DB8255PB2PB1PB0CS#来自I/O译码D0-D7A1A0A1A0107数据输出线的连接可直接连到DB上,或通过另外一个输入接口与DB相连;两种方法均需占用一个I/O地址D0-D7ADC0809DBOE来自I/O译码D0-D7ADC0809DBOE来自I/O译码直接连DB通过输入接口连DB74LS244+5VDIDOE1#E2#108ALE和START端的连接独立连接:用两个信号分别进行控制,需占用两个I/O端口或两个I/O线;统一连接:用一个脉冲信号的上升沿进行地址锁存,下降沿实现启动转换,只需占用一个I/O端口或一个I/O线。ADC0809ALESTART独立连接来自I/O译码器1来自I/O译码器2ADC0809ALESTART统一连接来自I/O译码器109判断转换结束的方法软件延时等待;软件查询EOC状态;把EOC作为中断申请信号接到中断控制器的中断请求输入端,在中断服务程序中读入转换结果。110软件查询方法判断EOC状态将EOC信号通过一个三态门连接到数据总线;三态门占用一个I/O端口地址;CPU通过不断读取该三态门端口的输入数据,来确定是否转换结束。111ADC0809与系统的连接例D0IN0A15——A0IORIOWD7——D0D7-D0EOCOESTARTALEADDCADDBADDA译码器ADC0809112初始化

送通道地址送ALE信号送START信号读EOC状态送读允许OE信号EOC=1?读转换结果采集结束否?NY结束Y送下一路通道地址(1)(1)N113§8.3作业:8.148.158.168.178.198.20谢谢大家!114*x+A2D5H8KbNfQiUlXo#s%v(y0B3F6I9LdOgRjVmYq!t&w-z1C4G7JbMePhTkWnZr$u(x+A2E5H8KcNfRiUlXp#s%v)y0B3F6IaLdOgSjVmYq!t*w-z1D4G7JbMeQhTkWoZr$u(x+B2E5H9KcNfRiUmXp#s&v)y0C3F7IaLdPgSjVnYq$t*w-A1D4G8JbNeQhTlWoZr%u(x+B2E6H9KcOfRiUmXp!s&v)z0C3F7IaMdPgSkVnYq$t*x-A1D5G8JbNeQiTlWo#r%u(y+B3E6H9LcOfRjUmYp!s&w)z0C4F7IaMdPhSkVnZq$t*x-A2D5G8KbNeQiTlXo#r%v(y+B3E6I9LcOgRjUmYp!t&w)z1C4F7JaMePhSkWnZq$u*x+A2D5H8KbNfQiUlXo#s%v(y0B3E6I9LdOgRjVmYp!t&w-z1C4G7JaMePhTkWnZr$u*x+A2E5H8KcNfQiUlXp#s%v)y0B3F6IaLdOgSjVmYq!t*w-z1D4G7JbMePhTkWoZr$u(x+A2E5H9KcNfRiUlXp#s&v)y0C3F6IaLdPgSjVnYq!t*w-A1D4G8JbMeQhTlWoZr%u(x+B2E6H9KcOfRiUmXp#s&v)z0C3F7IaLdPgSkVnYq$t*w-A1D5G8JbNeQhTlWo#r%u(y+B2E6H9LcOfRjUmXp!s&w)z0C4F7IaMdPhSkVnZq$t*x-A2D5G8KbNeQiTlWo#r%v(y+B3E6H9LcOgRjUmYp!s&w)z1C4F7JaMdPhSkWnZq$u*x-A2D5H8KbNfQiTlXo#s%v(y0B3E6I9LdOgRjVmYp!t&w)z1C4G7JaMePhSkWnZr$u*x+A2D5H8KcNfQiUlXo#s%v)y0B3F6I9LdOgSjVmYq!t&w-z1D4G7JbMePhTkWoZr$u(x+A2E5H8KcNfRiUlXp#s%v)y0C3F6IaLdOgSjVnYq!t*w-z1D4G8JbMeQhTkWoZr%u(x+B2E5H9KcOfRiUmXp#s&v)z0C3F7IaLdPgSkVnYq$t*w-A1D4G8JbNeQhTlWoZr%u(y+B2E6H9KcOfRjUmXp!s&v)z0C4F7IaMdPgSkVnZq$t*x-A1D5G8KbNeQiTlWo#r%v(y+B3E6H9LcOfRjUmYp!s&w)zG8JbNeQhTlWoZr%u(y+B2E6H9KcOfRjUmXp!s&v)z0C4F7IaMdPgSkVnZq$t*x-A1D5G8KbNeQiTlWo#r%u(y+B3E6H9LcOfRjUmYp!s&w)z0C4F7JaMdPhSkVnZq$u*x-A2D5G8KbNfQiTlXo#r%v(y0B3E6I9LcOgRjVmYp!t&w)z1C4F7JaMePhSkWnZq$u*x+A2D5H8KbNfQiUlXo#s%v(y0B3F6I9LdOgRjVmYq!t&w-z1C4G7JbMePhTkWnZr$u(x+A2E5H8KcNfQiUlXp#s%v)y0B3F6IaLdOgSjVmYq!t*w-z1D4G7JbMeQhTkWoZr$u(x+B2E5H9KcNfRiUmXp#s&v)y0C3F7IaLdPgSjVnYq$t*w-A1D4G8JbMeQhTlWoZr%u(x+B2E6H9KcOfRiUmXp!s&v)z0C3F7IaMdPgSkVnYq$t*x-A1D5G8JbNeQiTlWo#r%u(y+B3E6H9LcOfRjUmXp!s&w)z0C4F7IaMdPhSkVnZq$t*x-A2D5G8KbNeQiTlXo#r%v(y+B3E6I9LcOgRjUmYp!t&w)z1C4F7JaMePhSkWnZq$u*x+A2D5H8KbNfQiTlXo#s%v(y0B3E6I9LdOgRjVmYp!t&w-z1C4G7JaMePhTkWnZr$u*x+A2E5H8KcNfQiUlXp#s%v)y0B3F6IaLdOgSjVmYq!t&w-z1D4G7JbMePhTkWoZr$u(x+A2E5H9KcNfRiUlXp#s&v)y0C3F6IaLdPgSjVnYq!t*w-A1D4G8JbMeQhTlWoZr%u(x+B2E5H9KcOfRiUmXp#s&v)z0C3F7IaLdPgSkVnYq$t*w-A1D5G8JbNeQhTlWo#r%u(y+B2E6H9LcOfRjUmXp!s&w)z0C4F7IaMdPhSkVnZq$t*x-A1D5G8KbNeQiTlWo#r%v(y+B3E6H9LcOgRjUmYp!s&w)z1C4F7JaMdPhSkWnZq$u*x-A2D5H8KbNfQiTlXo#s%v(y0B3E6I9LcOgRjVmYp!t&w)z1C4G7JaMiTlWo#r%v(y+B3E6H9LcOgRjUmYp!s&w)z1C4F7JaMdPhSkWnZq$u*x-A2D5H8KbNfQiTlXo#r%v(y0B3E6I9LcOgRjVmYp!t&w)z1C4G7JaMePhSkWnZr$u*x+A2D5H8KcNfQiUlXo#s%v)y0B3F6I9LdOgSjVmYq!t&w-z1C4G7JbMePhTkWnZr$u(x+A2E5H8KcNfRiUlXp#s%v)y0C3F6IaLdOgSjVnYq!t*w-z1D4G8JbMeQhTkWoZr%u(x+B2E5H9KcNfRiUmXp#s&v)y0C3F7IaLdPgSjVnYq$t*w-A1D4G8JbNeQhTlWoZr%u(y+B2E6H9KcOfRjUmXp!s&v)z0C4F7IaMdPgSkVnZq$t*x-A1D5G8JbNeQiTlWo#r%u(y+B3E6H9LcOfRjUmYp!s&w)z0C4F7JaMdPhSkVnZq$u*x-A2D5G8KbNfQiTlXo#r%v(y0B3E6I9LcOgRjUmYp!t&w)z1C4F7JaMePhSkWnZq$u*x+A2D5H8KfRjUmYp!s&w)z0C4F7JaMdPhSkVnZq$u*x-A2D5G8KbNfQiTlXo#r%v(y+B3E6I9LcOgRjUmYp!t&w)z1C4F7JaMePhSkWnZq$u*x+A2D5H8KbNfQiUlXo#s%v(y0B3F6I9LdOgRjVmYq!t&w-z1C4G7JaMePhTkWnZr$u*x+A2E5H8KcNfQiUlXp#s%v)y07JaMePhSkWnZq$u*x+A2D5H8KbNfQiUlXo#s%v(y0B3F6I9LdOgRjVmYp!t&w-z1C4G7JaMePhTkWnZr$u*x+A2E5H8KcNfQiUlXp#s%v)y0B3F6IaLdOgSjVmYq!t*w-z1D4G7JbMeQhTkWoZr$u(x+A2E5H9KcNfRiUlXp#s&v)y0C3F6IaLdPgSjVnYq!t*w-A1D4G8JbMeQhTlWoZr%u(x+B2E6H9KcOfRiUmXp!s&v)z0C3F7IaLdPgSkVnYq$t*w-A1D5G8JbNeQhTlWo#r%u(y+B2E6H9LcOfRjUmXp!s&w)z0C4F7IaMdPhSkVnZq$t*x-A2D5G8KbNeQiTlXo#r%v(y+B3E6H9LcOgRjUmYp!s&w)z1C4F7JaMdPhSkWnZq$u*x-A2D5H8KbNfQiTlXo#s%v(y0B3E6I9LdOgRjVmYp!t&w-z1C4G7JaMePhSkWnZr$u*x+A2D5H8KcNfQiUlXo#s%v)y0B3F6I9LdOgSjVmYq!t&w-z1D4G7JbMePhTkWo%v(y0B3E6I9LdOgRjVmYp!t&w)z1C4G7JaMePhSkWnZr$u*x+A2D5H8KcNfQiUlXo#s%v)y0B3F6I9LdOgSjVmYq!t&w-z1D4G7JbMePhTkWoZr$u(

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论