微机系统组成课件_第1页
微机系统组成课件_第2页
微机系统组成课件_第3页
微机系统组成课件_第4页
微机系统组成课件_第5页
已阅读5页,还剩73页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

第二章微型计算机系统组成8086存储器组织8086CPU内部结构80X86微处理器的寄存器结构(80X86微处理器引脚功能)系统总线(输入/输出接口)12/19/20221第二章微型计算机系统组成8086存储器组织12/17/21.冯诺依曼型计算机的基本组成“存储程序”+“程序控制”1945年,美籍匈牙利数学家JohnVonNeumann提出,冯诺依曼型计算机

CPU输入设备控制器输出设备

存储器运算器ALU基本工作原理第二章80X86系列微机的系统组成§2.0微型计算机系统硬件组成12/19/202221.冯诺依曼型计算机的基本组成“存储程序”+“程序存储器I/O接口输入设备I/O接口数据总线DB控制总线CB地址总线AB输出设备微机的硬件由CPU、存储器、输入/输出设备构成;输入/输出设备通过输入/输出接口与系统相连;

(输入/输出接口简称I/O接口)各部件通过总线连接。构成部件微处理器第二章80X86系列微机的系统组成2.微机系统组成(系统总线)12/19/20223存I/O输I/O数据总线DB控制总线CB地址总线AB输第二章80X86系列微机的系统组成一.8086系统存储器结构关于8086:8086有20根地址信号线,可以寻址的地址空间为220字节,即1M字节。8086是16位的CPU,有16根数据总线。8086的存储器以字节为单位进行编址。字节00000H00001H00002HFFFFEHFFFFFH24H12H第二章80X86系列微机的系统组成§2.18086存储器组织12/19/20224第二章80X86系列微机的系统组成一.8086系统存储器§2.18086存储器组织一.数据在存储器中的存放第二章80X86系列微机的系统组成2.对准存放方式与非对准存放方式对准存放方式:存放字(或双字)数据时,从偶地址开始存放。非对准存放方式:存放字(或双字)数据时,从奇地址开始存放。对准存放方式比非对准存放方式在访问时速度更快1.存放原则:字节数据顺序存放;多字节数据存放在连续的单元中,且高地址存数据高字节12/19/20225§2.18086存储器组织一.数据在存储器中的存放第§2.18086存储器组织第二章80X86系列微机的系统组成二.

存储器的分段3.分段原则:1.问题的提出2.解决办法各段起始单元的20位地址能被16整除。低四位为0每段长度≤64K(216)字节段与段之间相对位置可任意。12/19/20226§2.18086存储器组织第二章80X86系列微机§2.18086存储器组织第二章80X86系列微机的系统组成三.

存储器的分段4.段的类型:代码段:数据段:堆栈段:附加段:放程序代码放程序运行所需要的数据特殊的数据区,存取数据按先进后出的顺序辅助的数据区当前正在使用的段称为当前段当前段:段寄存器:存放当前段起始地址的高十六位(称为段基址)。CS、DS、SS、ES12/19/20227§2.18086存储器组织第二章80X86系列微机§2.18086存储器组织第二章80X86系列微机的系统组成三.

存储器的分段5.物理地址与逻辑地址的关系:物理地址:逻辑地址:段基址:存储单元的真实地址,20位。程序中涉及的地址,16位。包括段基址和偏移地址该单元相对于该段起始单元的偏移量偏移地址:该单元所在段的起始物理地址的高十六位。物理地址=段基址24+偏移地址20位16位16位12/19/20228§2.18086存储器组织第二章80X86系列微机§2.18086存储器组织四.存储器的层次结构第二章80X86系列微机的系统组成高速缓存器Cache1.程序访问的局部性2.Cache及原理介于主存和CPU之间设置的一个高速小容量的存储器CPU主存CacheCache控制器SRAMDRAM12/19/20229§2.18086存储器组织四.存储器的层次结构第二章80高速缓存器Cache3.高性能微机存储系统的层次结构CPU内部寄存器片内高速缓存片外高速缓存主存储器(内存)外部存储器快慢速度小大容量80386系统的Cache在片外,从486开始增加了片内Cache从Pentium开始,片内Cache被分离为代码Cache和数据Cache(双路Cache结构),可以同时存取。数据Cache与两条独立工作的流水线相连,能同时接受两条流水线的访问。§2.18086存储器组织四.存储器的层次结构第二章80X86系列微机的系统组成12/19/202210高速缓存器Cache3.高性能微机存储系统的层次结构虚拟存储器VituralMemory1.物理存储器和虚拟存储器物理存储器:微机中实际配置的内存。虚拟存储器:程序员编程可用的地址空间。虚拟地址到物理地址的转换由MMU完成。通过存储管理机制将速度较快容量较小的内存与速度较慢但容量很大的外存有机灵活地结合在一起。以满足运行大容量存储器要求的程序。虚拟存储技术§2.18086存储器组织四.存储器的层次结构第二章80X86系列微机的系统组成12/19/202211虚拟存储器VituralMemory1.2.从80286开始,实现了虚拟存储管理,CPU支持对虚拟存储器的访问。虚拟存储器VituralMemory80286CPU支持两种工作模式:实地址模式保护模式(保护虚拟地址模式)同8086,寻址能力1M字节(220)寻址能力物理空间:16M字节虚拟空间:1G字节(224)(230)§2.18086存储器组织四.存储器的层次结构第二章80X86系列微机的系统组成12/19/2022122.从80286开始,实现了虚拟存储管理,CPU支持对虚拟存3.80386/486的三种工作模式虚拟存储器VituralMemory(1)实地址模式寻址能力及物理地址的计算同8086,相当于一个可进行32位操作的8086。§2.18086存储器组织四.存储器的层次结构第二章80X86系列微机的系统组成12/19/2022133.80386/486的三种工作模式虚拟存储器3.80386/486的三种工作模式虚拟存储器VituralMemory(2)保护模式32根地址线有效,可寻址4G字节的物理地址空间,64TB字节的虚拟地址空间采用分段管理和分页管理机制为程序的共享和保护以及虚拟存储器提供了硬件支持“保护”主要是指在多任务系统中对存储空间的保护,通过多种措施形成各任务之间以及用户程序与操作系统之间的隔离和保护。§2.18086存储器组织四.存储器的层次结构第二章80X86系列微机的系统组成12/19/2022143.80386/486的三种工作模式虚拟存储器3.80386/486的三种工作模式虚拟存储器VituralMemory(3)虚拟8086模式(V86模式)保护模式下,一个或若干个8086任务在非8086处理器上按8086的方式运行,这种操作方式称为V86。§2.18086存储器组织四.存储器的层次结构第二章80X86系列微机的系统组成12/19/2022153.80386/486的三种工作模式虚拟存储器4.分段和分页虚拟存储器VituralMemory段是一个被保护的独立的存贮地址空间。段是利用程序的模块化性质按程序的逻辑结构划分的,它的长度是可变的。分页管理是将物理存贮器按每4KB为一页的长度进行划分。页在逻辑上不独立。§2.18086存储器组织四.存储器的层次结构第二章80X86系列微机的系统组成12/19/2022164.分段和分页虚拟存储器Vitural5.存储器管理部件(MMU)虚拟存储器VituralMemory存储器管理部件(MMU)包括:分段部件(SU)分页部件(PU)完成保护模式下逻辑地址到物理地址的转换。逻辑地址(虚拟地址)物理地址线性地址分段部件分页部件(可选择)§2.18086存储器组织四.存储器的层次结构第二章80X86系列微机的系统组成12/19/2022175.存储器管理部件(MMU)虚拟存储器VitDSESSSCSIP数据暂存器PSW标志寄存器执行部件控制电路AXBXCXDXAHBHCHDHSIDIBPSPALBLCLDL寄存器组指令队列总线接口控制电路运算器地址加法器BIUEU第二章80X86系列微机的系统组成§2.28086CPU内部结构一.8086CPU的内部结构BIU+EU总线接口单元执行单元12/19/202218DSESSSCSIP数据暂存器PSW标志执行部件控制电路AX第二章80X86系列微机的系统组成§2.28086CPU内部结构一.8086CPU的内部结构1.总线接口部件BIU组成段寄存器(CS,DS,ES,SS)指令指针寄存器IP地址加法器指令队列总线控制电路功能负责所有的总线操作12/19/202219第二章80X86系列微机的系统组成§2.28086CPU第二章80X86系列微机的系统组成§2.28086CPU内部结构一.8086CPU的内部结构2.执行单元EU组成通用寄存器组EU控制电路标志寄存器FLAGS算术逻辑单元ALU功能执行指令12/19/202220第二章80X86系列微机的系统组成§2.28086CPU§2.380X86微处理器的寄存器结构第二章80X86系列微机的系统组成§2.38086微处理器的寄存器结构一.8086CPU寄存器结构DSESSSCSIP数据暂存器标志寄存器执行部件控制电路AXBXCXDXAHBHCHDHSIDIBPSPALBLCLDL寄存器组指令队列总线接口控制电路运算器地址加法器BIUEU1.分类:14个16位寄存器,其中:分为通用寄存器、段寄存器、专用寄存器AX、BX、CX、DX

又可各分成两个8位寄存器。其它10个只能作为16位寄存器第二章80X86系列微机的系统组成§2.38086微处理器的寄存器结构第二章80X86系列微机的系统组成§2.38086微处理器的寄存器结构12/19/202221§2.380X86微处理器的寄存器结构第二章80X(1)通用寄存器:通用数据寄存器:AX、BX、CX、DX指针寄存器:BP、SP变址寄存器:SI、DI第二章80X86系列微机的系统组成§2.38086微处理器的寄存器结构12/19/202222(1)通用寄存器:通用数据寄存器:AX、BX、CX、DX指针(2)段寄存器:CS、DS、SS、ES一个程序可以各有多个代码段、数据段、堆栈段、和附加段,但当前段各只有一个,即CS、DS、SS、ES指向的段。第二章80X86系列微机的系统组成§2.38086微处理器的寄存器结构12/19/202223(2)段寄存器:CS、DS、SS、ES一个程序可以各有多个代(3)专用寄存器:指令指针寄存器IP存放8086要执行的下一条指令的有效地址。程序员不能修改它的值,只能由8086CPU中的BIU自动修改。标志寄存器FLAGS第二章80X86系列微机的系统组成§2.38086微处理器的寄存器结构12/19/202224(3)专用寄存器:指令指针寄存器IP存放8086要执行的下一2.标志寄存器FLAGS格式及各位意义一.8086CPU寄存器结构用来指示微处理器的状态,并控制其操作【格式】说明:在8086中,有意义的有9位,其余7位无意义其中CF、PF、AF、ZF、SF、OF六位为状态标志位,用来表示运算结果的特征。常作为后续转移指令的控制条件。TF、IF、DF三位为控制标志位,用来控制CPU的操作。第二章80X86系列微机的系统组成§2.38086微处理器的寄存器结构12/19/2022252.标志寄存器FLAGS格式及各位意义一.8086CPU寄存2.标志寄存器FLAGS格式及各位意义一.8086CPU寄存器结构【各位意义】反映运算过程中,最高位是否产生进位/借位。CF:进位标志(CarryFlag)当本次运算最高位有进位(加法运算)或有借位(减法运算)时CF=1,否则CF=0。第二章80X86系列微机的系统组成§2.38086微处理器的寄存器结构12/19/2022262.标志寄存器FLAGS格式及各位意义一.8086CPU寄存2.标志寄存器FLAGS格式及各位意义一.8086CPU寄存器结构【各位意义】反映运算结果中,1的个数的奇偶情况。PF:奇偶标志(ParityFlag)运算结果低八位中含偶数个1时,PF=1;否则PF=0。第二章80X86系列微机的系统组成§2.38086微处理器的寄存器结构12/19/2022272.标志寄存器FLAGS格式及各位意义一.8086CPU寄存2.标志寄存器FLAGS格式及各位意义一.8086CPU寄存器结构【各位意义】反映运算中,D3位向D4位是否有进位或借位。AF:辅助进位标志(AuxiliaryCarryFlag)当本次运算D3位向D4位有进位(加法运算)或有借位(减法运算)时,AF=1;否则AF=0。第二章80X86系列微机的系统组成§2.38086微处理器的寄存器结构12/19/2022282.标志寄存器FLAGS格式及各位意义一.8086CPU寄存2.标志寄存器FLAGS格式及各位意义一.8086CPU寄存器结构【各位意义】反映当前的运算结果是否为0。ZF:零标志(ZeroFlag)当本次运算结果为0时,ZF=1;否则ZF=0。第二章80X86系列微机的系统组成§2.38086微处理器的寄存器结构12/19/2022292.标志寄存器FLAGS格式及各位意义一.8086CPU寄存2.标志寄存器FLAGS格式及各位意义一.8086CPU寄存器结构【各位意义】反映运算结果中最高位的状态。SF:符号标志(SignFlag)当本次运算结果最高位为1时,SF=1;否则SF=0。第二章80X86系列微机的系统组成§2.38086微处理器的寄存器结构12/19/2022302.标志寄存器FLAGS格式及各位意义一.8086CPU寄存2.标志寄存器FLAGS格式及各位意义一.8086CPU寄存器结构【各位意义】反映带符号数运算结果是否超出机器所能表示的数值范围。OF:溢出标志(OverflowFlag)带符号数运算过程中当字节运算的结果超出了范围-128~127,或当字运算的结果超出了范围-32768~32767。溢出:当运算结果产生溢出,OF=1;否则OF=0。第二章80X86系列微机的系统组成§2.38086微处理器的寄存器结构12/19/2022312.标志寄存器FLAGS格式及各位意义一.8086CPU寄存执行下面两个数的加法,给出各状态标志位的值。【例】01010100001110010100100110101010+1001110111100011执行后,CF=0第二章80X86系列微机的体系结构一.8086CPU寄存器结构D15位未产生进位D3位产生进位AF=1低八位奇数个1PF=0运算结果非0ZF=0D15位为1SF=1正与正相加,结果为负OF=1010011第二章80X86系列微机的系统组成§2.38086微处理器的寄存器结构12/19/202232执行下面两个数的加法,给出各状态标志位的值。【例】01012.标志寄存器FLAGS格式及各位意义一.8086CPU寄存器结构【各位意义】在字符串操作指令中由DF控制地址变化的方向(递增或递减)。DF:方向标志(DirectionFlag)DF=1,则地址按递减自动修改;DF=0,则地址按递增自动修改。第二章80X86系列微机的系统组成§2.38086微处理器的寄存器结构12/19/2022332.标志寄存器FLAGS格式及各位意义一.8086CPU寄存2.标志寄存器FLAGS格式及各位意义一.8086CPU寄存器结构【各位意义】IF:中断允许标志(InterruptEnableFlag)IF=1,允许CPU响应可屏蔽中断请求;IF=0,禁止CPU响应可屏蔽中断请求。第二章80X86系列微机的系统组成§2.38086微处理器的寄存器结构12/19/2022342.标志寄存器FLAGS格式及各位意义一.8086CPU寄存2.标志寄存器FLAGS格式及各位意义一.8086CPU寄存器结构【各位意义】TF:单步标志(TrapFlag)也叫跟踪标志TF=1时,CPU按单步方式执行指令。为调试程序设置的一个控制标志。第二章80X86系列微机的系统组成§2.38086微处理器的寄存器结构12/19/2022352.标志寄存器FLAGS格式及各位意义一.8086CPU寄存第二章80X86系列微机的体系结构3.SS及SP一.8086CPU寄存器结构堆栈:存储器中的一块特殊存储区或段,内中数据按“先进后出”原则组织。堆栈的段基址存放于SS中,堆栈指针寄存器SP指向堆栈栈顶。堆栈的其它特点以字为单位操作,且对准存放;入栈时,先是(SP-2)(SP),然后数据入栈。出栈时,先是数据出栈,然后(SP+2)(SP)。第二章80X86系列微机的系统组成§2.38086微处理器的寄存器结构12/19/202236第二章80X86系列微机的体系结构3.SS及SP一.8012400H10000H(SS)=1000H(SP)=2400HSP12400H10000HSP将AX寄存器中1234H入栈后123FEH12H34H(SP)=23FEH随着数据入栈,SP值越来越小,称8086堆栈向下生长。第二章80X86系列微机的系统组成§2.38086微处理器的寄存器结构12/19/20223712400H10000H(SS)=1000H(SP)=240第二章80X86系列微机的系统组成§2.48086CPU的引脚(略)§2.5输入/输出接口(略)§2.680X86系列微处理器简介(略)12/19/202238第二章80X86系列微机的系统组成§2.48086C习题2.3;2.4;(P30)12/19/202239习题2.3;2.4;12/17/202239第二章微型计算机系统组成8086存储器组织8086CPU内部结构80X86微处理器的寄存器结构(80X86微处理器引脚功能)系统总线(输入/输出接口)12/19/202240第二章微型计算机系统组成8086存储器组织12/17/21.冯诺依曼型计算机的基本组成“存储程序”+“程序控制”1945年,美籍匈牙利数学家JohnVonNeumann提出,冯诺依曼型计算机

CPU输入设备控制器输出设备

存储器运算器ALU基本工作原理第二章80X86系列微机的系统组成§2.0微型计算机系统硬件组成12/19/2022411.冯诺依曼型计算机的基本组成“存储程序”+“程序存储器I/O接口输入设备I/O接口数据总线DB控制总线CB地址总线AB输出设备微机的硬件由CPU、存储器、输入/输出设备构成;输入/输出设备通过输入/输出接口与系统相连;

(输入/输出接口简称I/O接口)各部件通过总线连接。构成部件微处理器第二章80X86系列微机的系统组成2.微机系统组成(系统总线)12/19/202242存I/O输I/O数据总线DB控制总线CB地址总线AB输第二章80X86系列微机的系统组成一.8086系统存储器结构关于8086:8086有20根地址信号线,可以寻址的地址空间为220字节,即1M字节。8086是16位的CPU,有16根数据总线。8086的存储器以字节为单位进行编址。字节00000H00001H00002HFFFFEHFFFFFH24H12H第二章80X86系列微机的系统组成§2.18086存储器组织12/19/202243第二章80X86系列微机的系统组成一.8086系统存储器§2.18086存储器组织一.数据在存储器中的存放第二章80X86系列微机的系统组成2.对准存放方式与非对准存放方式对准存放方式:存放字(或双字)数据时,从偶地址开始存放。非对准存放方式:存放字(或双字)数据时,从奇地址开始存放。对准存放方式比非对准存放方式在访问时速度更快1.存放原则:字节数据顺序存放;多字节数据存放在连续的单元中,且高地址存数据高字节12/19/202244§2.18086存储器组织一.数据在存储器中的存放第§2.18086存储器组织第二章80X86系列微机的系统组成二.

存储器的分段3.分段原则:1.问题的提出2.解决办法各段起始单元的20位地址能被16整除。低四位为0每段长度≤64K(216)字节段与段之间相对位置可任意。12/19/202245§2.18086存储器组织第二章80X86系列微机§2.18086存储器组织第二章80X86系列微机的系统组成三.

存储器的分段4.段的类型:代码段:数据段:堆栈段:附加段:放程序代码放程序运行所需要的数据特殊的数据区,存取数据按先进后出的顺序辅助的数据区当前正在使用的段称为当前段当前段:段寄存器:存放当前段起始地址的高十六位(称为段基址)。CS、DS、SS、ES12/19/202246§2.18086存储器组织第二章80X86系列微机§2.18086存储器组织第二章80X86系列微机的系统组成三.

存储器的分段5.物理地址与逻辑地址的关系:物理地址:逻辑地址:段基址:存储单元的真实地址,20位。程序中涉及的地址,16位。包括段基址和偏移地址该单元相对于该段起始单元的偏移量偏移地址:该单元所在段的起始物理地址的高十六位。物理地址=段基址24+偏移地址20位16位16位12/19/202247§2.18086存储器组织第二章80X86系列微机§2.18086存储器组织四.存储器的层次结构第二章80X86系列微机的系统组成高速缓存器Cache1.程序访问的局部性2.Cache及原理介于主存和CPU之间设置的一个高速小容量的存储器CPU主存CacheCache控制器SRAMDRAM12/19/202248§2.18086存储器组织四.存储器的层次结构第二章80高速缓存器Cache3.高性能微机存储系统的层次结构CPU内部寄存器片内高速缓存片外高速缓存主存储器(内存)外部存储器快慢速度小大容量80386系统的Cache在片外,从486开始增加了片内Cache从Pentium开始,片内Cache被分离为代码Cache和数据Cache(双路Cache结构),可以同时存取。数据Cache与两条独立工作的流水线相连,能同时接受两条流水线的访问。§2.18086存储器组织四.存储器的层次结构第二章80X86系列微机的系统组成12/19/202249高速缓存器Cache3.高性能微机存储系统的层次结构虚拟存储器VituralMemory1.物理存储器和虚拟存储器物理存储器:微机中实际配置的内存。虚拟存储器:程序员编程可用的地址空间。虚拟地址到物理地址的转换由MMU完成。通过存储管理机制将速度较快容量较小的内存与速度较慢但容量很大的外存有机灵活地结合在一起。以满足运行大容量存储器要求的程序。虚拟存储技术§2.18086存储器组织四.存储器的层次结构第二章80X86系列微机的系统组成12/19/202250虚拟存储器VituralMemory1.2.从80286开始,实现了虚拟存储管理,CPU支持对虚拟存储器的访问。虚拟存储器VituralMemory80286CPU支持两种工作模式:实地址模式保护模式(保护虚拟地址模式)同8086,寻址能力1M字节(220)寻址能力物理空间:16M字节虚拟空间:1G字节(224)(230)§2.18086存储器组织四.存储器的层次结构第二章80X86系列微机的系统组成12/19/2022512.从80286开始,实现了虚拟存储管理,CPU支持对虚拟存3.80386/486的三种工作模式虚拟存储器VituralMemory(1)实地址模式寻址能力及物理地址的计算同8086,相当于一个可进行32位操作的8086。§2.18086存储器组织四.存储器的层次结构第二章80X86系列微机的系统组成12/19/2022523.80386/486的三种工作模式虚拟存储器3.80386/486的三种工作模式虚拟存储器VituralMemory(2)保护模式32根地址线有效,可寻址4G字节的物理地址空间,64TB字节的虚拟地址空间采用分段管理和分页管理机制为程序的共享和保护以及虚拟存储器提供了硬件支持“保护”主要是指在多任务系统中对存储空间的保护,通过多种措施形成各任务之间以及用户程序与操作系统之间的隔离和保护。§2.18086存储器组织四.存储器的层次结构第二章80X86系列微机的系统组成12/19/2022533.80386/486的三种工作模式虚拟存储器3.80386/486的三种工作模式虚拟存储器VituralMemory(3)虚拟8086模式(V86模式)保护模式下,一个或若干个8086任务在非8086处理器上按8086的方式运行,这种操作方式称为V86。§2.18086存储器组织四.存储器的层次结构第二章80X86系列微机的系统组成12/19/2022543.80386/486的三种工作模式虚拟存储器4.分段和分页虚拟存储器VituralMemory段是一个被保护的独立的存贮地址空间。段是利用程序的模块化性质按程序的逻辑结构划分的,它的长度是可变的。分页管理是将物理存贮器按每4KB为一页的长度进行划分。页在逻辑上不独立。§2.18086存储器组织四.存储器的层次结构第二章80X86系列微机的系统组成12/19/2022554.分段和分页虚拟存储器Vitural5.存储器管理部件(MMU)虚拟存储器VituralMemory存储器管理部件(MMU)包括:分段部件(SU)分页部件(PU)完成保护模式下逻辑地址到物理地址的转换。逻辑地址(虚拟地址)物理地址线性地址分段部件分页部件(可选择)§2.18086存储器组织四.存储器的层次结构第二章80X86系列微机的系统组成12/19/2022565.存储器管理部件(MMU)虚拟存储器VitDSESSSCSIP数据暂存器PSW标志寄存器执行部件控制电路AXBXCXDXAHBHCHDHSIDIBPSPALBLCLDL寄存器组指令队列总线接口控制电路运算器地址加法器BIUEU第二章80X86系列微机的系统组成§2.28086CPU内部结构一.8086CPU的内部结构BIU+EU总线接口单元执行单元12/19/202257DSESSSCSIP数据暂存器PSW标志执行部件控制电路AX第二章80X86系列微机的系统组成§2.28086CPU内部结构一.8086CPU的内部结构1.总线接口部件BIU组成段寄存器(CS,DS,ES,SS)指令指针寄存器IP地址加法器指令队列总线控制电路功能负责所有的总线操作12/19/202258第二章80X86系列微机的系统组成§2.28086CPU第二章80X86系列微机的系统组成§2.28086CPU内部结构一.8086CPU的内部结构2.执行单元EU组成通用寄存器组EU控制电路标志寄存器FLAGS算术逻辑单元ALU功能执行指令12/19/202259第二章80X86系列微机的系统组成§2.28086CPU§2.380X86微处理器的寄存器结构第二章80X86系列微机的系统组成§2.38086微处理器的寄存器结构一.8086CPU寄存器结构DSESSSCSIP数据暂存器标志寄存器执行部件控制电路AXBXCXDXAHBHCHDHSIDIBPSPALBLCLDL寄存器组指令队列总线接口控制电路运算器地址加法器BIUEU1.分类:14个16位寄存器,其中:分为通用寄存器、段寄存器、专用寄存器AX、BX、CX、DX

又可各分成两个8位寄存器。其它10个只能作为16位寄存器第二章80X86系列微机的系统组成§2.38086微处理器的寄存器结构第二章80X86系列微机的系统组成§2.38086微处理器的寄存器结构12/19/202260§2.380X86微处理器的寄存器结构第二章80X(1)通用寄存器:通用数据寄存器:AX、BX、CX、DX指针寄存器:BP、SP变址寄存器:SI、DI第二章80X86系列微机的系统组成§2.38086微处理器的寄存器结构12/19/202261(1)通用寄存器:通用数据寄存器:AX、BX、CX、DX指针(2)段寄存器:CS、DS、SS、ES一个程序可以各有多个代码段、数据段、堆栈段、和附加段,但当前段各只有一个,即CS、DS、SS、ES指向的段。第二章80X86系列微机的系统组成§2.38086微处理器的寄存器结构12/19/202262(2)段寄存器:CS、DS、SS、ES一个程序可以各有多个代(3)专用寄存器:指令指针寄存器IP存放8086要执行的下一条指令的有效地址。程序员不能修改它的值,只能由8086CPU中的BIU自动修改。标志寄存器FLAGS第二章80X86系列微机的系统组成§2.38086微处理器的寄存器结构12/19/202263(3)专用寄存器:指令指针寄存器IP存放8086要执行的下一2.标志寄存器FLAGS格式及各位意义一.8086CPU寄存器结构用来指示微处理器的状态,并控制其操作【格式】说明:在8086中,有意义的有9位,其余7位无意义其中CF、PF、AF、ZF、SF、OF六位为状态标志位,用来表示运算结果的特征。常作为后续转移指令的控制条件。TF、IF、DF三位为控制标志位,用来控制CPU的操作。第二章80X86系列微机的系统组成§2.38086微处理器的寄存器结构12/19/2022642.标志寄存器FLAGS格式及各位意义一.8086CPU寄存2.标志寄存器FLAGS格式及各位意义一.8086CPU寄存器结构【各位意义】反映运算过程中,最高位是否产生进位/借位。CF:进位标志(CarryFlag)当本次运算最高位有进位(加法运算)或有借位(减法运算)时CF=1,否则CF=0。第二章80X86系列微机的系统组成§2.38086微处理器的寄存器结构12/19/2022652.标志寄存器FLAGS格式及各位意义一.8086CPU寄存2.标志寄存器FLAGS格式及各位意义一.8086CPU寄存器结构【各位意义】反映运算结果中,1的个数的奇偶情况。PF:奇偶标志(ParityFlag)运算结果低八位中含偶数个1时,PF=1;否则PF=0。第二章80X86系列微机的系统组成§2.38086微处理器的寄存器结构12/19/2022662.标志寄存器FLAGS格式及各位意义一.8086CPU寄存2.标志寄存器FLAGS格式及各位意义一.8086CPU寄存器结构【各位意义】反映运算中,D3位向D4位是否有进位或借位。AF:辅助进位标志(AuxiliaryCarryFlag)当本次运算D3位向D4位有进位(加法运算)或有借位(减法运算)时,AF=1;否则AF=0。第二章80X86系列微机的系统组成§2.38086微处理器的寄存器结构12/19/2022672.标志寄存器FLAGS格式及各位意义一.8086CPU寄存2.标志寄存器FLAGS格式及各位意义一.8086CPU寄存器结构【各位意义】反映当前的运算结果是否为0。ZF:零标志(ZeroFlag)当本次运算结果为0时,ZF=1;否则ZF=0。第二章80X86系列微机的系统组成§2.38086微处理器的寄存器结构12/19/2022682.标志寄存器FLAGS格式及各位意义一.8086CPU寄存2.标志寄存器FLAGS格式及各位意义一.8086CPU寄存器结构【各位意义】反映运算结果中最高位的状态。SF:符号标志(SignFlag)当本次运算结果最高位为1时,SF=1;否则SF=0。第二章80X86系列微机的系统组成§2.38086微处理器的寄存器结构12/19/2022692.标志寄存器FLAGS格式及各位意义一.8086CPU寄存2.标志寄存器FLAGS格式及各位意义一.8086CPU寄存器结构【各位意义】反映带符号数运算结果是否超出机器所能表示的数值范围。OF:溢出标志(OverflowFlag)带符号数运算过程中当字节运算的结果超出了范围-128~127,或当字运算的结果超出了范围-32768~32767。溢出:当运算结果产生溢出,OF=1;否则OF=0。第

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论