版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、EDA 与 VHDL 断改错题集一、判断对错(并改正)1、CONSTANT T2: std_logic = 0;(错) 改正:把 qqqq=d;END CASE;答案:CASE语句缺 WHEN OTHERS语句。.已知 data_in1, data_in2为 STD_LOGIC_VECTOR(15 DOWNTO 0)类型的输入 端口 , data_out为 STD_LOGIC_VECTOR(15 DOWNTO 0)类型的输出端口 , add_sub为STD_LOGIC类型的输入端口,请判断下面给出的程序片段:LIBRARY IEEE ;USE IEEE.STD_LOGIC_1164.ALL ;
2、ENTITY add ISPORT (data_in1, data_in2 IN INTEGER ;data_out OUT INTEGER);END add;ARCHTECTURE add_arch OF add ISCONSTANT a:INTEGER=2 ;BEGINdata_out=( data_in1+ data_in2) * aEND addsub_arch;答案:常量声明时赋初值的“二符号应改用、”符号。.已知Q为STD_LOGIC类型的输出端口,请判断下面的程序片段:ARCHITECTURE test_arch OF test IS BEGINSIGNAL B : STD_LO
3、GIC ;QQQ Q Q = 0;END CASE;END test ;【参考答案】:CAS晤句应该存在于进程 PROCESS。.已知start为STD_LOGICt型的彳言号,sum INTEGE嘤型的信号,请判断下面的程 序片断:PROCESS (start)BEGINFOR i IN 1 TO 9 LOOP sum := sum + i ;END LOOP ;END PROCES S【参考答案】:sum是信号,其赋值符号应该由“:=改为“ =。.已知Q为STD_LOGICt型的输出端口,请判断下面的程序片断:ARCHITECTURE test OF test ISBEGINSIGNAL
4、B : STD_LOGIC ;Q = B ;END test ;【参考答案】:信号SIGNAL勺申明语句应该放在 BEGINS句之前。.已知A口 Bt匀为STD_LOGI类型的信号,请判断下面的语句:A = 0;B = x;【参考答案】:不定态符号应该由小写的x改为大写的X。. 已知A为INTEGER1型的信号,B为STD_LOGICt型的信号,请判断下面的程序片断:ARCHITECTURE test OF test ISBEGINB q q q q = d ;END CASE;【参考答案】:CASE1句缺 WHEN OTHER第旬。四、判断下面程序中是否有错误,若有错误请改正;1、SIGNA
5、L A,EN:STD_LOGIC;PROCESS(A,EN)VARIABLE B:STD_LOGIC;BEGINIF EN= 1 THENB=A;END ;END PROCESS;2、RCHITECTURE ONE OF SAMPLE ISVARIABLE A B, C: INTEGERBEGINC=A+B;END ;五、判断下列程序是否有错误,如有则指出错误所在(10分)程序:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY
6、zyt12 ISPORT(R,EN,CP: IN bit;Q: BUFFER STD_LOGIC_VECTOR(0 DOWNTO 3);CO: OUT STD_LOGIC);END zyt;ARCHITECTURE c10 OF zyt12BEGINCO=1 WHEN(EN=1 AND Q=1011) ELSE ;0;PROCESS(R,CP)BEGINIF R=1 THENQ=0000;ELSIF (CPEVENT AND CP=1) THENIF EN=0 THENQ=Q;ELSIF Q=1011 THENQ=0000;ELSEQ:=Q+1;END IF;END PROCESS;END o
7、ne;六、VHDL程序改错:(10分)01 LIBRARY IEEE ;02USE IEEE.STD_LOGIC_1164.ALL ;03USE IEEE.STD_LOGIC_UNSIGNED.ALL;04 ENTITY LED7CNT IS05PORT ( CLR : IN STD_LOGIC;06CLK : IN STD_LOGIC;仅供学习与交流,如有侵权请联系网站删除谢谢7070809101112131415161718192021222324252627282930313233343536LED7s : OUT STD_LOGIC_VECTOR(6 DOWNTO 0);END LED
8、7CNT;ARCHITECTURE one OF LED7CNT ISSIGNAL TMP : STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINCNT&ROCESS(CLR,CLK)BEGINIF CLR = 1 THENTMP = 0;ELSE IF CLKEVENT AND CLK = 1 THENTMP LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S 0);END CASE;END PROCESS;END one;在程序中存在两处错误,试指出,并说明理由: 提示:在MAX+PlusII
9、 10.2上编译时报出的第一条错误为:Error yine 15: File */led7cnt.vhd: Type error: type in waveform element must be“std_logic_vector弟 仃,乍日认:改正:弟 仃,乍日深:改正:七、判断下列程序是否有错误,如有则指出错误所在,并为以下两个程序配上相应的实体和结构体。(10分)(10 分)程序1:ARCHITECTURE one OF sample ISVARIABLE a,b,c:INTEGER;BEGINC=a+bEND;程序2:signal A,EN: std_logic;process(A,E
10、N)variable B: std_logic;beginif EN=1 then B=A;end if;end process;八、判断下列程序是否有错误,如有则指出错误所在,并为以下两个程序配上相应的实体和结构体。程序1:library ieee;use ieee.std_logic_1164.all;ENTITY sample isport(a,b:in integer;c:out integer);end sample;architecture one of sample isbeginc=a+b;end one;程序2:ENTITY sample1 isport(a,en:in st
11、d_logic;b:out std_logic);end sample1;architecture one of sample1 isbeginprocess(A,EN)beginif EN=1 then B=A;end if;end process;end one;九、程序改错题(仔细阅读下列程序后回答问题,12分)LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY gc ISPORT ( CLK : IN STD_LOGIC ;Q : OUT STD_LOGIC_VECTOR(3 D
12、OWNTO 0);END gc;ARCHITECTURE bhv OF gc ISSIGNAL Q1 : RANGE 0 TO 9;BEGINPROCESS (clk,Q)BEGINIF RISING_EDGE(CLK) THENIF Q1 1001 THENQ1 = Q1 + 1 ;ELSEQ1 0);END IF;END IF;END PROCESS ;Q out1 out1= 0; 当 value 取十时END CASE;程序段2 :ARCHITECTURE bad OF ex ISSIGNAL a_vect: STD_LOGIC_VECTOR(2 DOWNTO 0);SIGNAL b_
13、vect: STD_LOGIC_VECTOR(3 DOWNTO 0);BEGIN0 &a=b;END;程序段3:IF sel = 1 THENC =“01” ;ELSIF John = 100 THENC= 10 ;ELSE c= 00” ;ELSE C= 11” ;END IF;第2题:判断下列VHDL标识符是否合法,如果有误则指出原因。(1) _Decoder_1;(2) 2FFT;(3) Sig_ #N;(4)RyY_RsT_;(5) data_ _BUS;第3题:下面的赋值语句执行之后矢量a ,b将分别得到什么值?ARCHITECTURE rtl OF ex ISSIGNAL a, b
14、: STD_LOGIC_VECTOR (4 downto 0);SIGNAL c: STD)LOGIC_VECTOR (0 to 2);BEGINa 0, 2=1, 4=1, others=b(4);a 的第一赋值为0 ,二四位赋值为1 ,其他位(0,3位)赋值为b的第四位0,其结果为10100仅供学习与交流,如有侵权请联系网站删除 谢谢12b i, 2=0, 3=1,others=c(1);b 的第 0 三位赋值为1 ,第二位赋值为0,其他位(1 , 4位)赋值为c的第一位0,结果为01001c out1 out1 NULL ;END CASE;第2题:ENTITY case_ex ISPO
15、RT(a: IN STD_LOGIC_VECTOR(4 DOWNTO 0);q:out STD_LOGIC_VECTOR(2 DOWNTO 0);END;ARCHITECTURE rtl OF case_ex ISBEGINP1:PROCESS(a)BEGINCASE a ISWHEN “00000 = q q q= 000 ” ;END CASE;END PROCESS;END;第3题:IF sel = 11 THENIF td = 1 THENC= “01” ;ELSIF td = 0 THENC= 10 ;ELSE c dd dd= 0;END CASE;第2题:IF sel = 01
16、THENC =“01 ” ;IF sel = 10 THENC= 10 ;ELSE c= 00” ;END IF;第3题:ARCHITECTURE bad OF ex ISSIGNAL a:BIT_VECTOR(2 DOWNTO 0);SIGNAL b:BIT_LOGIC_VECTOR(2 DOWNTO 1);BEGINa S_out S_out = 1;END CASE;第2题:ARCHITECTURE bhv OF ex ISSIGNAL a:STD_LOGIC_VECTOR(4 DOWNTO 0);SIGNAL b:STD_LOGIC_VECTOR(0 TO 4);SIGNAL c: S
17、TD_LOGIC;BEGINa = 1101 ” ;b =c;b (0 TO 3)=a (3 DOWNTO 0);END;第3题:IF a = 1 THENC =111”;ELSIF b = 100 THENC= 110w ;ELSE c= 010” ;END IF;END IF;十四、VHDL程序改错:仔细阅读下列程序,回答问题LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY CNT10 ISPORT ( CLK : IN STD_LOGIC ;Q : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);END CNT10;ARCH
18、ITECTURE bhv OF CNT10 ISSIGNAL Q1 : STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINPROCESS (CLK) BEGINIF RISING_EDGE(CLK) beginIF Q1 9 THENQ1 = Q1 + 1 ;ELSEQ1 0);END IF;END IF;END PROCESS ;Q DEI1 Locate In Floorplan EditorHelp on Message4 架物区注映(J of 0M等燃装十五、VHDL程序改错:本题程序为EDA实验中的示例程序sch.vhd ,仔细阅读程序,回答问题.对该程序进行编译时
19、出现错误提示:“ VHDL Design File“sch” mustcontain an entity of the same name. ”这是什么原因?如何修改?.修改问题1的错误后,如果编译时出现“ Can t open VHDL “WORK” ”这样的错误提示。这又是什么原因,如何修改?library ieee;-1use ieee.std_logic_1164.all;-2entity schk is-3port (din, clk, clr : in std_logic; -串行输入数据位/工作时钟/复位信号4ab: out std_logic_vector(3 downto 0
20、)-检测结5-5);-6end schk;-7architecture bhv of schk is-8signal q : integer range 0 to 8;-9signal d : std_logic_vector(7 downto 0);- 8 位待检测预置数10 一begin-11d = 11100101;- 8位待检测预置数-12process (clk, clr)-13begin-14if clr = 1 then q if din = d(7) then q = 1; else q if din = d(6) then q = 2; else q if din = d(5
21、) then q = 3; else q if din = d(4) then q = 4; else q if din = d(3) then q = 5; else q if din = d(2) then q = 6; else q if din = d(1) then q = 7; else q if din = d(0) then q = 8; else q q = 0;-26end case;-27end if;-28end process;-29process (q)-30begin-31if q = 8 then ab = 1010;-32elseab = 1011;-33en
22、d if;-34end process;-35end bhv;-36end end end end end end end end.在上述程序代码中存在两处错误,编译时出现如下提示,试修改错误:Error: Line 12: File f:edaschk.vhd: VHDL syntax error: unexpected signal d in Concurrent Statement PartError: Line 29:File f:edaschk.vhd: VHDL syntax error: if statement must have END IF, but found PROCE
23、SS instead错误1行号:程序改为:错误2行号:程序改为:十六、VHDLS序改错仔细阅读下列程序,回答问题LIBRARY IEEE;-1USE IEEE.STD_LOGIC_1164.ALL;-2ENTITY LED7SEG IS-3PORT ( A: IN STD_LOGIC_VECTOR(3 DOWNTO 0);-4CLK : IN STD_LOGIC;-5LED7S : OUT STD_LOGIC_VECTOR(6 DOWNTO 0);-6END LED7SEG;-7ARCHITECTURE one OF LED7SEG IS-8SIGNAL TMP : STD_LOGIC;-9B
24、EGIN-10SYNC : PROCESS(CLK, A)-11BEGIN-12IF CLKEVENT AND CLK = 1 THEN-13TMP LED7s LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S = 1101111;-29END CASE;-30END PROCESS;-31END one;-32.在程序中存在两处错误,试指出,并说明理由:.修改相应行的程序:错误1行号: 程序改为:错误2行号: 程序改为:十八、VHDLS序改错仔细阅读下列程序,回答问题LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;-2ENTITY LED7SEG IS-3PORT ( A: IN STD_LOGIC_VECTOR(3 DOWNTO 0);-4CLK : IN STD_LOGIC;-5LED7S : OUT STD_LOGIC_VECTOR(6 DOWNTO 0);-6END LED7SEG;-7ARCHITECTURE one OF LED7SEG IS-8 SIGNA
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 小学生自我评价集锦15篇
- 校园活动策划书(集锦15篇)
- 端午节演讲稿3分钟(合集4篇)
- 销售上半年工作总结15篇
- 旅游案例-彝人古镇
- 长度单位手抄报6篇
- 人教版高一地理必修2同步习题及答案解析(全册)
- 儿童乐园合同(2篇)
- 河南省安阳市林州第二职业高级中学高三语文联考试卷含解析
- 2025年斗型布草车项目合作计划书
- 2024年放射工作人员放射防护培训考试题及答案
- 2024年1月国开电大专科《渠道管理》期末考试试题及答案
- JGT302-2022卷帘门窗规范
- 居家养老单项服务及收费
- 长输管道项目管道封堵施工技术方案
- 医疗器械质量安全承诺书
- 湘美版三年级美术上册《12. 盘泥条-瓶子变装秀》教学设计
- 遵义市仁怀市2022-2023学年七年级上学期期末数学试题【带答案】
- 发展汉语初级口语I-L18
- 2024-2034年全球及中国药用菌行业市场发展分析及前景趋势与投资发展研究报告
- 2024年中小学劳动技能大赛活动方案
评论
0/150
提交评论