集成开发环境基础ppt课件_第1页
集成开发环境基础ppt课件_第2页
集成开发环境基础ppt课件_第3页
集成开发环境基础ppt课件_第4页
集成开发环境基础ppt课件_第5页
已阅读5页,还剩97页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、第三章 集成开发环境根底了解集成开发环境安装配置。掌握ISE工程开发流程。ISE的安装与配置ISE版本ISE 4.x,ISE 5.x曾经很少运用ISE 6.3testbench变为.v文件ISE 7.1.4面向中低端芯片,支持Virtex-4,支持中文注释ISE 8.2较稳定的集成开发环境ISE 9.1软件规模急剧增大ISE 10.x支持Virtex 5ISE 12.x,ISE 13.x支持Virtex 6,windows 7系统售价约3,000美圆ISE 14.x支持Virtex 7ISE的安装与配置ISE 简介XILINX公司的FPGA集成开发环境;包括设计输入、仿真Simulate、综合

2、Synthesize、规划布线Place & Route、生成BIT文件、配置、在线调试等功能;支持多种第三方工具:ModelSim,Synplify等;ISE用于FPGA逻辑设计开发,如需运用XILINX芯片的PowerPC或MicroBlaze嵌入式处置器,那么运用EDK工具。 ISE的安装与配置ISE 7.1 的安装与配置后继版本类似检查系统时间正常;三张光盘按顺序安装;安装7.1.4的补丁,只需添加此补丁才可以支持Virtex 4系列芯片。ISE的安装与配置按照提示安装ISE的安装与配置接受答应ISE的安装与配置接受答应ISE的安装与配置接受答应ISE的安装与配置输入序列号ISE的安装

3、与配置安装途径不允许中文途径ISE的安装与配置默许设置即可ISE的安装与配置默许设置即可ISE的安装与配置确认安装ISE的安装与配置后两张光盘按照同样的步骤安装在同一目录下。安装补丁IP补丁为可选,解压覆盖即可按提示安装即可。ISE的安装与配置启动 ,留意检验启动提示版天性否为7.1.4ISE的安装与配置正常启动界面ModelSim的安装与配置ModelSim简介ISE中可直接调用ModelSim仿真,也可以独立运用ModelSim进展仿真。Mentor公司的HDL言语仿真软件;独一的单内核支持VHDL和Verilog混合仿真的仿真器;对SystemC直接支持,和HDL恣意混合;支持Syste

4、mVerilog的设计功能; 分几种不同的版本:SE、PE、LE和OEM:SE为最高级版本,支持PC、UNIX和LINUX混合平台XE为Xilinx的OEM版本ModelSim的安装与配置0102030405ModelSim for Windows常用版本6.1X 6.2X 5.xX曾经较少运用,仿真速度较慢;20,000美圆6.0X X标号为a, b, c, d 6.5X, 7.X,10.X支持Windows7及以上平台注:1各个二级版本号不同版本间仿真库不通用; 2功能和运用方法根本一样。ModelSim的安装与配置以ModelSim 6.0D的安装与配置为例留意:安装前必需检查系统时间能

5、否正常,运用时不允许时间回调。ModelSim的安装与配置选择完好版安装ModelSim的安装与配置按提示安装ModelSim的安装与配置同样不能安装在中文途径下ModelSim的安装与配置安装此步骤时一定要选“否,否那么后续无法完成,只能重新安装。ModelSim的安装与配置后续几步可恣意选择ModelSim的安装与配置License选择Close,不要运用导游生成,此时先不要运转ModelSimModelSim的安装与配置配置License检查License新建一个License目录,例如 C:flexlm将license.dat复制到此目录下。注:早期版本需求配置系统环境变量,6.0以后

6、版本不需求。启动ModelSimModelSim的安装与配置启动胜利界面ModelSim的安装与配置前面只完成了软件的安装,SE版本不包含专门Xilinx FPGA的仿真库;XE版本包含ModelSim仿真库的配置需求手工编译仿真库,此过程较为复杂假设二级版本号一样如6.0d和6.0e,可以直接从已配置好的系统中进展复制,并进展库的映射先安装ISE,才干进展仿真库的编译ModelSim的安装与配置2、创建仿真库目录将安装目录下的modelsim.ini文件的只读属性去掉,否那么生成的仿真库无法添加到配置文件中。1、修正配置文件在安装目录下新建目录 /Xilinx/Verilog 一个二级目录实

7、际上目录称号和位置可以恣意,映射正确即可3、运转ModelSim,设置库途径ModelSim的安装与配置选择所新建的目录为仿真库目录ModelSim的安装与配置下面以unisims_ver为例,引见编译方法目的是生成三个库unisims_versimprims_verXilinxCoreLib_verModelSim的安装与配置4、新建一个库在workspace区域右键 New-LibraryModelSim的安装与配置在对话框中输入unisims_verModelSim的安装与配置5、编译unisims_ver库留意先选中unisims_ver,然后再Compile弹出窗口中Library称

8、号一定要改为unisims_verModelSim的安装与配置源文件定位查找范围选择ISE的安装目录找到 /verilog/src 目录所需求的三个库ModelSim的安装与配置翻开unisims文件夹这里是各种库的Verilog源码全选,进展Compile留意:实践不能这样做ModelSim的安装与配置先选择一半文件进展编译缘由是全选导致命令行过长溢出,ModelSim解体。全部完成后,点击Done。ModelSim的安装与配置6、编辑仿真库选中unisims_ver,右键Edit映射物理仿真库ModelSim的安装与配置此时翻开modelsim.ini文件可选步骤:绝对途径修正为相对途径D

9、:/Modeltech_6.0/Xilinx/verilog/unisims_ver$MODEL_TECH/./Xilinx/verilog/unisims_verModelSim的安装与配置按照同样的步骤建立和配置其它两个库simprims_ver 文件较少,可以一次性编译XilinxCoreLib_ver 文件较多,分两次编译ModelSim的安装与配置在编译库过程中,假设重新启动ModelSim,任务途径需求重新设置检查根目录下/Xilinx/verilog/ 能否包含三个仿真库目录本卷须知ModelSim的安装与配置7、与ISE进展关联启动ISE,关联ModelSim,通常能自动关联S

10、ynplify的安装与配置12345Synplicity公司针对FPGA和CPLD实现的逻辑综合工具Synplicity在2004年的全球FPGA市场占有率以绝对领先的67自动对关键途径做Retiming,可以提高性能高达25%支持VHDL和Verilog的混合设计输入,并支持网表*.edn文件的输入Pipeline功能提高了乘法器和ROM的性能;有限形状机优化器可以自动找到最优的编码方法Synplify简介Synplify的安装与配置67Synplicity公司2021年被Synopsys公司收买主要产品系列:SynplifySynplify ProSynplify Premier Synp

11、lify DSP Synplify简介软件规模也越来越庞大,综合和调试才干越来越强。Synplify Pro 常用版本ISE中可直接调用Synplify Pro综合,也可以独立运用Synplify Pro进展综合。注:7.x和8.x在windows 7下能够无法正常运用。7.6Synplify的安装与配置7.7.18.18.28.59.0.29.2.29.6.2适用Virtex-II 以之前产品适用Virtex-4202120212021适用Virtex-5适用Virtex-6、7Synplify的安装与配置以Synplify Pro 8.1的安装与配置为例Synplify的安装与配置不运用F

12、LEXLM不能安装在中文途径下Synplify的安装与配置License选择Node-locked或者No ChangeLicense选择方式非常重要Synplify的安装与配置记录环境变量有能够生成途径不同Synplify的安装与配置功能选择Synplify Pro必选,其他可选。Synplify的安装与配置Identify是可选工具,可以不安装Synplify的安装与配置执行 readme.txt文件中的操作完成后运转synplify pro 8.1,选择YES,修正更新方式 Synplify的安装与配置与ISE关联启动ISE,关联Synplify Pro,通常能自动关联。ChipScop

13、e的安装与配置可察看FPGA内部信号高版本的ISE集成ChipScope XILINX自有的一款软件在线逻辑分析仪包括三个工具:ChipScope Pro Core InsertChipScope Pro Core GeneratorChipScope Pro AnalyzerChipScope简介ChipScope的安装与配置必需安装与ISE版本号一致的ChipScope,例如:ISE 7.1.4 需求配套安装 ChipScope Pro 7.1.4。假设版本号不一致,Core Insert和Core Generator无法运用;Analyzer只需下载功能,观测调试功能能够失效。可直接安装

14、ChipScope_Pro_7_1_04i_pc.exe,不需求安装原始的ChipScope_Pro_7_1i_pc.exe安装过程较为简单,为便于管理,可安装在ISE目录下:如,C:XilinxChipScope_Pro_7_1iChipScope 的安装以ChipScope Pro 7.1.4 的安装与配置为例Synplify的安装与配置检查版本号安装胜利后ISE的Process View中会出现,与ISE的关联与其他软件类似。FPGA普通设计流程设计输入功能仿真综合实现与规划布线器件编程ISE工程开发根底引荐运用板级仿真设计输入电路功能设计综合实现与规划布线器件编程功能行为仿真综合后仿真

15、静态时序分析时序仿真与验证板级仿真与验证电路验证反标注设计验证FPGA普通设计流程的另一种描画ISE工程开发根底1设计定义2HDL实现3功能仿真4逻辑综合5前仿真6规划布线8后仿真9在系统测试7静态时序分析逻辑仿真器逻辑综合器逻辑仿真器FPGA厂家工具逻辑仿真器FPGA前期设计流程ISE工程开发根底NYNYNY设计输入功能分析接口定义算法设计编码实现功能验证综合布线代码改良联调胜利后续实现流程ISE工程开发根底工程开发实例:LED显示控制源于FPGA开发板的8个LED的显示实验输入:时钟信号、复位信号输出:控制8个LED的8位输出信号、其他引脚控制信号功能描画:LED从左到右循环显示LED从右

16、到左循环显示改动显示频率其它显示顺序后三个请自行设计实现!ISE工程开发根底启动ISE,创建一个新工程File-New Project必需英文途径原理图HDL代码芯片系列特定芯片型号封装类型速度等级顶层模块类型综合工具: XST或Synplify Pro仿真工具: ModelSim或ISE Simulator言语: Verilog或VHDLISE工程开发根底芯片选择和工具选择ISE工程开发根底创建工程资源文件,可稍后创建新文件ISE工程开发根底添加工程资源文件,可稍后添加已有文件ISE工程开发根底工程根本信息ISE工程开发根底可随时修正工程配置ISE工程开发根底顶层原理图设计Project-N

17、ew SourceSchematic描画顶层模块中子模块的端口衔接ISE工程开发根底顶层原理图设计.sch文件ISE工程开发根底顶层HDL设计Project-New SourceVerilog Module端口定义可在此处声明可以在文件中声明ISE工程开发根底顶层HDL设计通常采用Module View视图ISE工程开发根底顶层HDL设计产生模板空Module保管字为蓝色原语为褐色条件编译为紫色注释为绿色ISE工程开发根底Process View简介单击Module View中的源文件约束综合生成配置文件实现在线逻辑分析仪ISE工程开发根底Process View简介只用于测试文件中所包含的模

18、型时序约束直接文本编辑引脚约束面积约束RTL原理图右键 综合选项RTL原理图综合报告ISE工程开发根底Process View简介右键 翻译选项右键 规划布线选项右键 映射选项右键 生成配置文件选项ISE工程开发根底代码编写仿真时延定义接口信号定义接口方向声明接口类型声明参数定义ISE工程开发根底代码编写定义内部信号数据流描画ISE工程开发根底代码编写行为描画ISE工程开发根底代码编写行为描画关联待测试模块ISE工程开发根底创建仿真文件Project-New SourceVerilog Test Fixture四种仿真功能ISE工程开发根底产生测试模板ISE工程开发根底添加仿真描画生成时钟设置

19、复位信号假设有其它输入添加其输入模型ModelSim仿真技术入门ISE中调用ModelSim保管一切设计文件单击测试文件单击Simulate Behavior ModelModelSim仿真技术入门010203语法检查在ISE中修正设计文件封锁ModelSim,重新仿真参数TP错误#TPModelSim仿真技术入门模块关系所选中模块信号表任务台此时只需外部接口信号仿真波形ModelSim仿真技术入门最大化波形窗口右键-simulate某些版本默许不显示仿真工具条Break 中止仿真Run 从当前时辰开场执行一个仿真步长Restart 去除波形下次从0时辰开场Run All 从当前时辰开场不断执

20、行下去常用Restart和Run All的组合Cursor的设置和删除所选中信号的跳变位置定位波形左右缩放Zoom full 当前已仿真波形全屏ModelSim仿真技术入门工具栏常用按钮简介ModelSim仿真技术入门外部信号仿真点击全绿是由于信号过密察看输入鼓励生成和输出能否符合要求ModelSim仿真技术入门内部信号仿真向波形中添加信号将整个模块添加添加所需信号根据需求添加数量越多仿真越慢ModelSim仿真技术入门波形窗口编辑插入分隔线:便于分隔信号ModelSim仿真技术入门波形窗口编辑信号基数或编码转换Edit-Select All右键选择Radix便于多位信号的观测ModelSim仿真技术入门仿真测试文件运用ModelSim仿真技术入门仿真测试文件运用大约仿真125ms后看到LED_DATA输出发生变化假设观测LED循环显示过程,时间较长反向调试错误能够是源文件呵斥,也能够是仿真文件呵斥ModelSim仿真技术入

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论