第4章组合逻辑电路2_第1页
第4章组合逻辑电路2_第2页
第4章组合逻辑电路2_第3页
第4章组合逻辑电路2_第4页
第4章组合逻辑电路2_第5页
已阅读5页,还剩54页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、第第4章章 组合逻辑电路组合逻辑电路 第第4章章 组合逻辑电路组合逻辑电路 4.1 组合逻辑电路的特点和分析方法组合逻辑电路的特点和分析方法 4.2 常用的组合逻辑电路常用的组合逻辑电路4.3 组合逻辑电路的设计方法组合逻辑电路的设计方法4.4 组合逻辑电路中的竞争组合逻辑电路中的竞争-冒险冒险 第第4章章 组合逻辑电路组合逻辑电路 4.2 常用的组合逻辑电路常用的组合逻辑电路译码:将输入的代码“翻译”成另外一种代码输出。常用的有:二进制译码器,二十进制译码器和七段显示译码器等几类。1. 二进制译码器 例:2线4线译码器3013201210110010mAAYmAAYmAAYmAAY 第第4章

2、章 组合逻辑电路组合逻辑电路 双双2线线 4线译码器线译码器74HC139低电平输出选通控制端)()()()(013012011010 AGAYAGAYAAGYAAGY)( iimGY第第4章章 组合逻辑电路组合逻辑电路 74HC139的真值表:的真值表:G 0123YYYY 第第4章章 组合逻辑电路组合逻辑电路 利用附加的选通控制端进行扩展例:用74HC139(2线4线译码器) 3线8线译码器)()()()()()()()(01270126012501240123012201210120 AAAZAAAZAAAZAAAZAAAZAAAZAAAZAAAZA2=0A2=1iimZ 第第4章章 组

3、合逻辑电路组合逻辑电路 2. 二十进制译码器二十进制译码器 将输入的10个BCD代码分别译成10个输出端上的高(或低)电平信号。BCD码以外的伪码,输出均无低电平信号产生 例:74HC42) 90( imYii第第4章章 组合逻辑电路组合逻辑电路 3. 七段显示译码器七段显示译码器七段显示译码器的功能时将BCD码译成七段字符显示器驱动电路所需的7位输入代码。第第4章章 组合逻辑电路组合逻辑电路 七段显示译码器的真值表七段显示译码器的真值表第第4章章 组合逻辑电路组合逻辑电路 七段显示译码器七段显示译码器74LS49的逻辑图的逻辑图接高电平接高电平)正常工作时应将(正常工作时应将()控制端(控制

4、端(电路上设置了“消隐”电路上设置了“消隐” BIBI当当 接低电平时,字接低电平时,字符显示器处于熄灭状态符显示器处于熄灭状态)( BI第第4章章 组合逻辑电路组合逻辑电路 4.2.2 编码器编码:将一组编码输入的每一个信号编成一个与之对应的输出代码。普通编码器 正常工作时只允许输入一个编码信号,不允许同时输入两个以上的编码输入信号,否则输出将出现错误状态。优先编码器 同时有两个以上的编码输入信号时,只对其中优先权最高的一个进行编码。第第4章章 组合逻辑电路组合逻辑电路 1. 普通编码器普通编码器例:8线3线普通编码器765432107654321076543210765432102IIII

5、IIIIIIIIIIIIIIIIIIIIIIIIIIIIY 第第4章章 组合逻辑电路组合逻辑电路 利用无关项化简,得:利用无关项化简,得:753107632176542IIIIYIIIIYIIIIY 第第4章章 组合逻辑电路组合逻辑电路 2. 优先编码器优先编码器 例:8线3线优先编码器 (设I7优先权最高I0优先权最低)第第4章章 组合逻辑电路组合逻辑电路 低电平低电平有效有效实例:实例:74LS148选通输入端选通输入端为为0时,电路工作时,电路工作选通输出端选通输出端为为0时,电路工作时,电路工作无无编码输入编码输入扩展端扩展端为为0时,电路工作时,电路工作有有编码输入编码输入第第4章章

6、 组合逻辑电路组合逻辑电路 765432101IIIIIIIIS 012YYY X0ES 第第4章章 组合逻辑电路组合逻辑电路 附加输出信号的状态及含意附加输出信号的状态及含意XOES 第第4章章 组合逻辑电路组合逻辑电路 控制端扩展功能举例:控制端扩展功能举例:例:用两片8线-3线优先编码器16线-4线优先编码器 其中, 的优先权最高 15A 第第4章章 组合逻辑电路组合逻辑电路 XOES 第第4章章 组合逻辑电路组合逻辑电路 第一片为高优先权只有(1)无编码输入时,(2)才允许工作第(1)片 时表示对 的编码低3位输出应是两片的输出的“或”0X E815 AA 第第4章章 组合逻辑电路组合

7、逻辑电路 第第4章章 组合逻辑电路组合逻辑电路 第第4章章 组合逻辑电路组合逻辑电路 (SDAADAADAADAAY 例:“双四选一”,74HC153 分析其中的一个“四选一”1S 第第4章章 组合逻辑电路组合逻辑电路 利用选通控制端扩展利用选通控制端扩展例:用两个例:用两个“四选一四选一”接成接成“八选一八选一”“四选一”只有2位地址输入,从四个输入中选中一个“八选一”的八个数据需要3位地址代码指定其中任何一个70126012501240123012201210120012)()()()()()()()(dAAAdAAAdAAAdAAAdAAAdAAA

8、dAAAdAAAZ 位位地地址址输输入入端端作作为为第第利利用用3S 第第4章章 组合逻辑电路组合逻辑电路 2. MSI八选一数据选择器74151 MSI74151是一个具有互补输出的八选一数据选择器,它有三个数据选择端,八个数据输入端,两个互补数据输出端,一个低电平有效的选通使能端。74151的引脚图和逻辑符号如图333所示。YVCCGND12345678161514131211109(a)D3MUX0A0A1(b)A22D2D1D0YD4D5D6D7A0A1A2YSD0D1D2D3D4D5D6D7S70GEN01234567YY图333 MSI74151八选一数据选择器(a)引脚图;(b)

9、逻辑符号第第4章章 组合逻辑电路组合逻辑电路 MSI74151八选一数据选择器的真值表如表317所示。由真值表可以看出: 当 时,数据选择器被禁止,输出与输入信号及选择信号无关,此时,Y=0、 ; 当 时,数据选择器工作,输出Y的表达式为S=1Y=1S=021021200011221011032420502162107 Y=A A A D +A A A D +A A A D +A A A D +A A A D +A A A D +A A A D +A A A D第第4章章 组合逻辑电路组合逻辑电路 表317 MSI74151八选一数据选择器的真值表第第4章章 组合逻辑电路组合逻辑电路 3.用M

10、SI数据选择器实现逻辑函数 我们知道,逻辑函数可以写成变量最小项相或的形式,而从数据选择器的逻辑表达式可以看出,它包含了数据选择信号的所有不同的最小项,这一特点使我们可以利用数据选择器去实现逻辑函数。用数据选择器实现逻辑函数的方法有两种:比较法和图表法(真值表或卡诺图)。第第4章章 组合逻辑电路组合逻辑电路 比较法的一般步骤如下:(1)选择接到数据选择端的函数变量。(2)写出数据选择器输出的逻辑表达式。(3)将要实现的逻辑函数转换为标准与或表达式。(4)对照数据选择器输出表达式和待实现函数的表达式,确定数据输入端的值。(5)连接电路。 真值表法的一般步骤如下:(1)选择接到数据选择端的函数变量

11、。(2)画出逻辑函数和数据选择器的真值表。(3)确定各个数据输入端的值。(4)连接电路。第第4章章 组合逻辑电路组合逻辑电路 下面分三种情况进行讨论。 1) 函数变量的数目m等于数据选择器中数据选择端的数目n在这种情况下,把变量一对一接到数据选择端,各个数据输入端依据具体函数接“0”或“1”,不需要反变量输入,也不需要任何其他器件,就可以用数据选择器实现任何一个组合逻辑函数。第第4章章 组合逻辑电路组合逻辑电路 【例3.3】 用MSI74151八选一数据选择器实现逻辑函数 解: 首先选择接到数据选择端的函数变量。MSI74151八选一数据选择器有三个数据选择端:A2、A1、A0,函数F有A、B

12、、C三个变量,它们可以一对一连接。连接方法有多种,现让A2接变量A,A1接变量B,A0接变量C。F=AB+BC+CA第第4章章 组合逻辑电路组合逻辑电路 写出数据选择器输出端的逻辑表达式如下:01234567 Y=ABCD +ABCD +ABCD +ABCD +ABCD +ABCD +ABCD +ABCD写出逻辑函数F的标准与或表达式: F=AB+BC+CA =AB(C+C)+(A+A)BC+(B+B)CA =ABC+ABC+ABC+ABC+ABC+ABC比较Y和F的表达式可以看出,当D0=0,D1=D2=D3=D4=D5=D6=1,D7=0时,Y=F。逻辑图如图334所示。第第4章章 组合逻

13、辑电路组合逻辑电路 图334 用MSI74151实现函数 的逻辑图 F=AB+BC+CAMUX0270GEN01234567YCBA5 VAC CB BA Y第第4章章 组合逻辑电路组合逻辑电路 2)函数变量的数目m多于数据选择器中数据选择端的数目n 在这种情况下,不可能将函数的全部变量都接到数据选择器的数据选择端,有的变量要接到数据选择器的数据输入端。要实现逻辑函数,可能还必须要有反变量输入或其他门电路。 第第4章章 组合逻辑电路组合逻辑电路 【例3.4】 用MSI74151八选一数据选择器实现逻辑函数 F=ABD+ABCD+BC+BCD 解: MSI74151八选一数据选择器有三个数据选择

14、端A2、A1、A0,而函数F有A、B、C、D四个变量,只能将其中的三个接到数据选择器的数据选择端上。下面设计两种不同的方案。 方案一:让A2接变量A,A1接变量B,A0接变量C。画出如表318所示的真值表。第第4章章 组合逻辑电路组合逻辑电路 从表中可以看出,当D0=0、1=D、D2=D、D3=1、D4=D、D5=1、D6=0、D7=1时,Y=F。逻辑图如图335(a)所示。 方案二:让A2接变量A,A1接变量B,A0接变量D。画出如表319所示的真值表。第第4章章 组合逻辑电路组合逻辑电路 表318 方案一的真值表 第第4章章 组合逻辑电路组合逻辑电路 表319 方案二的真值表 第第4章章

15、组合逻辑电路组合逻辑电路 从表中可以看出,当D0=C、D1=0、D2=C、D3=1、D4=C、D5=1、D6=C、D7=C时,Y=F。逻辑图如图335(b)所示。 由图335可以看出,方案一需要反变量D输入(也可以用一个非门产生),而方案二则不需要。设计实现方案时,应尽可能不用或少用反变量输入及其他门电路。 第第4章章 组合逻辑电路组合逻辑电路 图335 用MSI74151实现函数 的逻辑图 (a)方案一;(b)方案二 F=ABD+ABCD+BC+BCDMUX0270GEN01234567YCBA5 VYFDDD(a)MUX0270GEN01234567YDBA5 VYFC(b)第第4章章 组

16、合逻辑电路组合逻辑电路 3)函数变量的数目m少于数据选择器中数据选择端的数目n当函数变量的数目m少于数据选择器中数据选择端的数目n时,可以将变量接到数据选择器中的m个数据选择端,再依据具体函数来确定数据输入端和剩余数据选择端的值。在这种情况下,无需反变量输入,亦无需其他器件,即可以实现任何一个组合逻辑函数,而且有多种实现方案。第第4章章 组合逻辑电路组合逻辑电路 【例3.5】 用MSI74151八选一数据选择器实现逻辑函数 。 解: 函数F只有A、B两个变量,将它们接到MSI74151数据选择器其中的两个数据择端,接法有多种。现让A1接变量A,A0接变量B,则数据选择器输出的逻辑表达式为F=A

17、B+AB第第4章章 组合逻辑电路组合逻辑电路 22220123242526272202412522226327Y=A ABD +A ABD +A ABD +A ABD +A ABD +A ABD +A ABD +A ABD =AB(A D +A D )+AB(A D +A D ) +AB(A D +A D )+AB(A D +A D ) 从表达式可以看出,当: 2024212522262327 A D +A D =0 A D +A D =1 A D +A D =1 A D +A D =0第第4章章 组合逻辑电路组合逻辑电路 时,即得Y=AB+AB。因此得到:若A2=0,则D0=0,D1=1,D

18、2=1,D3=0,其他数据输入端可以不接,对输出无影响;若A2=1,则D4=0,D5=1,D6=1,D7=0。逻辑图如图336所示。第第4章章 组合逻辑电路组合逻辑电路 图336 用MSI74151实现函数 的逻辑图F=AB+ABMUX0270GEN01234567YBA5 VYFA21MUX0270GEN01234567YBA5 VYFA20第第4章章 组合逻辑电路组合逻辑电路 4.2.4 加法器加法器1. 一位加法器 全加器:将两个1位二进制数及来自低位的进位相加第第4章章 组合逻辑电路组合逻辑电路 IIIIOIIIIIIII )( )(BCACABCAABCBCABCCBACBACBAC

19、BABCACABCBAS 第第4章章 组合逻辑电路组合逻辑电路 2. 多位加法器多位加法器(1) 串行进位加法器优点:简单缺点:慢iiiiiiiiiiiiCBABACCBASCC)()()()()(IOI1OI 第第4章章 组合逻辑电路组合逻辑电路 (2) 超前进位加法器基本原理:加到第i位的进位输入信号是两个加数第i位以前各位(0 j-1)的函数,可在相加前由A,B两数确定。优点:快,每1位的和及最后的进位基本同时产生。缺点:电路复杂。第第4章章 组合逻辑电路组合逻辑电路 【例34.2】 将8421BCD码转换为余3码。 解: 8421BCD码和余3码的对应关系如表412所示。从表中可以看出

20、,将四位的8421BCD码加上0011就是对应的余3码。因此,使用MSI74283加法器可以很方便地将8421BCD码转换为余3码,如图426所示。 第第4章章 组合逻辑电路组合逻辑电路 表412 8421BCD码和余3码对照表第第4章章 组合逻辑电路组合逻辑电路 图426 用74283加法器将8421BCD码转换为余3码 74283A3A2A1A0B3B2B1B0C4C0X3X2X1X001(8421BCD码 )S3S2S1S0Y3Y2Y1Y0(余 3码 )第第4章章 组合逻辑电路组合逻辑电路 4.2.5 数值比较器数值比较器用来比较两个数的数值大小,给出“大于”、“小于”或者“相等”的输出

21、信号。1. 一位数值比较器 A、B比较有三种可能结果BAYBABABABAYBABABABAYBABABABABA )()()(, 1)1, 0(, 1)0, 1()(),10,(则则则则或或同为同为第第4章章 组合逻辑电路组合逻辑电路 2. 多位数值比较器多位数值比较器原理:从高位比起,只有高位相等,才比较下一位。例如:)(00112233)()()(0011223300112233112233223333)()()(0011223300112233112233223333)(01230123)()()()()()()()()()()()()()()()()()()()()()()()(BABABABABABABABAIBABABABAYIIBABABABABABABABABABABABABABAYIIBABABABABABABABA

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论