基于集成运放的相位差检测电路设计_第1页
基于集成运放的相位差检测电路设计_第2页
基于集成运放的相位差检测电路设计_第3页
基于集成运放的相位差检测电路设计_第4页
基于集成运放的相位差检测电路设计_第5页
已阅读5页,还剩14页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、课程设计名称:电子技术课程设计目:基于集成运放的相位差检测电路设计期:2016-2017学年第2学期业:名:指导教师:辽宁工程技术大学课程设计成绩评定表评定标准评定指标评定标准该项成绩毕业要求指标点2.3正确使用义献分析复杂电气工程问题,并获得有效结论参数计算及单兀电路的止确性设计内容的合理性结果评价毕业要求指标3.3掌握电气工程领域的基本创新方法,在设计环节中体现创新意识设计方案的可行性设计的创新性创新意识毕业要求指标:4.3能够选择与使用恰当的现代工程工具和信息技术工具,解决包括预测与模拟在内的复杂电气工程问题,并能够理解其局限性。仿真或实验验证技术指标或性能结果的正确性毕业要求指标:6.

2、3理解工程伦理的核心理念,了解电气工程师的职业性质和责任,在工程实践中能自觉遵守职业道德和规范,具有法律意识设计态度与进度设计报告图表设计内容与文字表达设计报告格式与完整性总得分标准说明:以上13个评定标准,每个评定标准5分,总分65分。得分40分以上视为总成绩合格。总成绩日期年月日任务书设计题目基于集成运放的相位差检测电路设计二、设计任务1实现低频交流电相位差的检测;2显示测得的相位值。三、设计计划电子技术课程设计共1周。第1天:方案分析比较,确定设计方案;第2天:单元电路设计,并实现电路仿真;第3天:录入文字第4天:绘制总体电路图;第5天:排版。四、设计要求1.画由整体电路图。2,写生设计

3、说明书。3.实现电路仿真。指导教师:时间:2017年6月23日摘要本课程设计主要要求是设计一个基于集成运放的相位差检测电路。整流滤波电路是提供直流电源的。首先,要把信号源进行移相,用到RC移相电路,配合上集成运放,然后同时把移相之前的信号源和移相之后的信号源给两个过零比较器,结果输出的不是高电平就是低电平,完成了对模拟信号转化成数字信号的任务。他们先异或,接着通过和一个来自555定时器的信号进行与逻辑,然后给在和计数器的clk端进行与逻辑,完成对周期长度和计时器的控制,达到采样的目的,最后数码管显示相位差。完成了相位差检测的功能。目录1、综述2、原理及技术指标3、单元电路设计及参数计算3.1

4、整流滤波电路3.2 RC移相电路3.3 555定时器电路3.4 计数器显示部分3.5 参数计算4、仿真5、设计比较6、结论7、设计体会参考文献1综述振幅、频率和相位是描述正弦交流电的三个“要素”。以电压为例,其函数关系为u=Umsin(wt+妆)式中:Um为电压的振幅;为角频率;如为初相位。设后t+g,称为瞬时相位,它随时间改变,机是t=0时刻的瞬时相位值。两个角频率为CD1,2的正弦电压分别为ui=Umisin(colt+(|)i)u2=Um2Sin(2t+物它们的瞬时相位差为0=(Wit+5)-(2t+花)=(1-2)t+(朴也)显然,两个角频率不相等的正弦电压(或者电流)之间的瞬时相位差

5、是时间t的函数,它随时间改变而改变。当两正弦电压的角频率1=32=3时,有0=(1)1-也由此可见,两个频率相同的正弦量间的相位差是常数,等于两正弦量的初相位之差。在实际的工作之中,经常需要研究诸如放大器、滤波器等各种器件的频率特性,即输出、输入信号间的幅度比随频率的变化(幅频特性)和输出、输入信号间的相位差随频率的变化关系(相频特性)。尤其在图像信号传输与处理、多元信号的相干特性显得更为重要。相位差的测量是研究网络相频特性中必不可少的重要方面,如何使相位差的测量快速、精确已成为生产科研中重要的研究课题。测量相位差的方法很多,主要有:用示波器测量;把相位差转换为时间间隔,先测量出时间间隔,再换

6、算为相位差;把相位差转换为电压,先测量出电压,再换算为相位差;与标准移相器进行比较的比较法(零示法)等。在测量相位差中主要有四种方法,即用示波器测量相位差、相位差转换为时间间隔进行测量、相位差转换为电压进行测量、零示法测量相位差。2原理及技术指南图一是相位差检测电路原理图。要测量相位差,就得需要测出两个同相位时间的差值,进而通过转换,最终求出相位差.0所以就需要测出这段时间。在这段时间内有个明显的特点,就是一个为正,一个为负,首先,要把信号源进行移相,用到RC移相电路,配合上集成运放,然后同时把移相之前的信号源和移相之后的信号源给两个过零比较器,结果输出的不是高电平就是低电平,完成了对模拟信号

7、转化成数字信号的任务。接着通过和一个来自555定时器的信号进行与逻辑,然后给在和计数器的clk端进行与逻辑,完成对周期长度和计时器的控制,达到采样的目的,最后数码管显示相位差。完成了相位差检测的功能。3单元电路设计及参数计算该电路主要由四个部分组成。3.1 整流滤波电路:该部分选用单向桥式整流及电容滤波电路,由220V交流电压经变压器降压,D3-D6桥式整流滤波电路输出电压12V供芯片回路用。图2,图3是滤波器的组成部分。闸管是四层三端器件,它有J1、J2、J3三个PN结,可以把它中间的NP分成两部分,构成一个PNP型三极管和一个NPN型三极管的复合管,如图3-3图3-3当品闸管承受正向阳极电

8、压时,为使晶闸管导通,必须使承受反向电压的PN结J2失去阻挡作用。图2中每个晶体管的集电极电流同时就是另一个晶体管的基极电流。因此,两个互相复合的晶体管电路,当有足够的门极电流Ig流入时,就会形成强烈的正反馈,造成两晶体管饱和导通,晶体管饱和导通。设PNP管和NPN管的集电极电流相应为Ic1和Ic2;发射极电流相应为Ia和Ik;电流放大系数相应为a1=Ic1/Ia和a2=Ic2/Ik,设流过J2结的反相漏电电流为Ic0,晶闸管的阳极电流等于两管的集电极电流和漏电流的总和:Ia=Ic1+Ic2+Ic0或Ia=a1Ia+a2Ik+Ic0若门极电流为Ig,则晶闸管阴极电流为Ik=Ia+Ig从而可以得

9、出晶闸管阳极电流为:I=(Ic0+Iga2)/(1-(a1+a2)(11)式硅PNP管和硅NPN管相应的电流放大系数a1和a2随其发射极电流的改变而急剧变化如图3所示。当晶闸管承受正向阳极电压,而门极未受电压的情况下,式(11)中,Ig=0,(a1+a2)很小,故晶闸管的阳极电流Ia=Ic0晶闸管处于正向阻断状态。当品闸管在正向阳极电压下,从门极G流入电流Ig,由于足够大的Ig流经NPN管的发射结,从而提高其电流放大系数a2,产生足够大白极电极电流Ic2流过PNP管的发射结,并提高了PNP管的电流放大系数a1,产生更大的极电极电流Ic1流经NPN管的发射结。这样强烈的正反馈过程迅速进行。从图3

10、,当a1和a2随发射极电流增加而(a1+a2)=1时,式(11)中的分母1-(a1+a2)=Q因此提高了晶闸管的阳极电流Ia.这时,流过晶闸管的电流完全由主回路的电压和回路电阻决定。晶闸管已处于正向导通状态。式(11)中,在晶闸管导通后,1-(a1+a2)=Q即使此时门极电流Ig=0,晶闸管仍能保持原来的阳极电流Ia而继续导通。品闸管在导通后,门极已失去作用。在晶闸管导通后,如果不断的减小电源电压或增大回路电阻,使阳极电流Ia减小到维持电流IH以下时,由于a1和a1迅速下P当1-(a1+a2)=0时,晶闸管恢复阻断状态。3.2 RC移相电路:鉴于电路中的电容和电感均有移相功能,电容的端电压落后

11、于电流900,电感的端电压超前于电流900,这就是电容电感移相的结果。先说电容移相,电容一通电,电路就给电容充电,一开始瞬间充电的电流为最大值,电压趋于0,随着电容充电量增加,电流渐而变小,电压渐而增加,至电容充电结束时,电容充电电流趋于0,电容端电压为电路的最大值,这样就完成了一个充电周期,如果取电容的端电压作为输出,即可得到一个滞后于电流900的称移相电压;电感因为有自感自动势总是阻碍电路中变量变化的特性,移相情形正好与电容相反,一接通电路,一个周期开始时电感端电压最大,电流最小,一个周期结束时,端电压最小,电流量大,得到的是一个电压超前900的移相效果;这里说滞后或超前900,只是对纯电

12、容纯电感而言,实际应用中是没有纯电容或纯时感的,所以,一个电容或电感的移相效果不可能正好达到滞后或超前900。下面是最简单的RC移相电路。图5RC移相电路输出电压Uo与输入电压Ui之间的相位差日随可调节电阻R的改变而改变。当R由0一叫寸,移相电品&输入电压Ui和输出电压Uo的移相范围可由上向量图看出是0900。本课程设计中的移相电路是以集成运算放大器、电阻、电容器件,通过合理的组合来实现相位波形的移相电路。电路如图图6所示,图中U4A和U5A是0900的移相放大器,两极移相放大器可以完成01800的移相。第一级由U4A组成的移相滤波电路又被叫作全通滤波器,能通过所有的频率的信号,电路增

13、益幅度为常数,仅相位是频率的函数。图6移相电路第二级由U5A组成的移相电路与第一级移相电路的原理完全相同3.3 555定时器电路:该电路的主要作用是采集信号,当继电器控制的开关断开时,电源随即给电容C充电,没有冲到三分之二VCd前,555定时器输出高电平。当冲到三分之二VCCM,输出低电平。所以就可以根据这段时间,进彳T脉冲的采样。连接图如图7所示下面介绍下555定时器-2/555定时器的功能主要由两个比较器决定。两个比较器的输出电压控制RS触发器和放电管的状态。在电源与地之间加上电压,当5脚悬空时,则电压比较器C1的同相输入端的电压为2VCC/3,C2的反相输入端的电压为VCC/3。若触发输

14、入端TR的电压小于VCC/3,则比较器C2的输出为0,可使RS触发器置1,使输出端OUT=10如果阈值输入端TH的电压大于2VCC/3,同时TR端的电压大于VCC/3,则C1的输出为0,C2的输出为1,可将RS触发器置0,使输出为低电平。它的各个引脚功能如下:1脚:外接电源负端VSS或接地,一般情况下接地。2脚:低触发端TR。3脚:输出端Vo4脚:是直接清零端。当此端接低电平,则时基电路不工作,此时不论TR、TH处于何电平,时基电路输出为“0;该端不用时应接高电平。5脚:VC为控制电压端。若此端外接电压,则可改变内部两个比较器的基准电压,当该端不用时,应将该端用入一只0.01小应容接地,以防引

15、入干扰。6脚:高触发端TH。7脚:放电端。该端与放电管集电极相连,用做定时器时电容的放电。8脚:外接电源VCC,双极型时基电路VCC的范围是4.516V,CMOS型时基电路VCC的范围为318V。一月用5V。在1脚接地,5脚未外接电压,两个比较器A1、A2基准电压分别为低电平的情况下,555时基电路的功能表如表3-1示。表3-1555定时器的功能表清零端高触发端TH低触发端TRv0放电管T(V)功能0XX0导通直接清零101x保持上一状态保持上一状态1101截止置11001截止置11110导通清零3.4 计数器显示部分:计数器部分由3个741s190十位同步可逆计数器,脉冲由脉冲源和来自控制端

16、的信号进行与逻辑而成。三个芯片输出端分别接三个显示器,显示相位差数值。如下图8所示图八3.5 参数计算V”-0555计时器部分充电时间T=RCLn7=0.01Vcc-Vt所以R取1。,C取0.009F。计数器显示部分clk脉冲信号频率计算tt180一0.01ff=18000HZ参数符合题目要求4仿真sit:LMZftlXT:Ci直流电源仿真图4-1整流电路图4-2555定时器电路JIJ5THJFCOTammWKinnyL4相位差电路的仿真最后为差为107度5设计比较第一种555定时器的开关只能用手动去打开,只能仿真的同时断开开关。还设计了第二种,可以用继电器来控制,继电器的电源来源是整流部分电路,自是我这个电路没有画出来。第二中能够及时的断开开关,减少了误差,所以推荐第二种。6结论在设计电路的过程中,要先通过观察参考电路,思考怎样简化参考电路,在器件上选择改进。我们复习了学习的模拟电子技术基础的最后一章直流稳压电源,温习了桥式整流及电容滤波,认为输出电压的稳定性需要再加上一个稳压器来实现直流稳压电源的输出。复习了数字电子技术基础中门电路的应用,最后选择用555定时器构成多采集波形的手段代替了原电路中的其他的繁琐器件。这样的改进更利于最后实物的运作7设计体会这次课程设计,使我受益匪浅,不仅巩固了课堂上的理论知识,温故而知

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论