EDA技术考试试题B及详细答案_第1页
EDA技术考试试题B及详细答案_第2页
EDA技术考试试题B及详细答案_第3页
EDA技术考试试题B及详细答案_第4页
EDA技术考试试题B及详细答案_第5页
全文预览已结束

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、精选优质文档-倾情为你奉上EDA技术第二学期期末试题B卷号:B 时间:120 分钟 2008 年6 月专业:电子信息工程 学号: 姓名:一、填空题(20分,每题2分)当前ASIC制造商都自己开发了HDL语言,但是都不通用,只有美国国防部开发的( )语言成为了IEEE. STD_1076标准,并在全世界得到了承认。载入protel的Schematic中的( )和( )可满足一般用户需求,两个零件库中含有二极管、三极管、电阻、电容、电感等常用元件。零件封装是指( )。EDA技术也称( ) ,是在( )技术的基础上发展起来的计算机软件系统。目前世界上有十几家生产CPLD/FPGA的公司,最大的三家是

2、:( ),( ),LATTIC 。顺序描述语句中,( )在MAX-PLUS中不被支持。 VHDL语句中能被赋予一定值的对象称为客体 ,主要有常数,信号和变量。其中常数对应代表数字电路中的电源和接地等。信号对应物理设计中的( )。 FPGA可分为两大类,分别是 SRAM-BASE和Anti-Fuse 设计时一般选用( ) 。 100mil=( )mm,7.62mm=( )mil。PCB封装元件实际上就是( )。二、名词解释题(20分,每题4分)1 PLD/FPGA 2.过孔3.铜膜线4 PROM、PAL和PLA 5 自顶向下的/自下而上的设计方法三、选择题(15分,每题3分)1下列常用热键具有在

3、元件浮动状态时,编辑元件属性功能的是( )PgUpTabSpace barEsc2Design/Options菜单中下列选项不属于开关选项的是:( )ASnap GridBHidden PinsCElectrical GridD.Title block3下列不属于VHDL基本程序结构是( )A.CONFIGURATION定义区B.ARCHITECTURE定义区CUSE定义区DENTITY定义区4下列关于VHDL中信号说法不正确的是: ( )A信号赋值可以有延迟时间,B信号除当前值外还有许多相关值,如历史信息等,变量只有当前值C信号可以是多个进程的全局信号 D号值输入信号时采用代入符“:=”,而

4、不是赋值符”=”,同时信号可以附加延时。5.下列各表达式不正确的是:( )A“1011”SLL=“0110”B Singal a: bit_vector(7 downto 0);a=”;则a(0)=0C (-5) rem 2=(-1)D 5 mod(-2)=(-1) 四、简答题(12分,每题6分)1 原理图设计步骤2过程调用语句可以并发执行,但要注意那些问题五 论述题(13分)MAX+PLUSII软件设计流程六VHDL语言编程题(20分)(1)VHDL语言编写2输入或非门(5分)(2)VHDL语言编写半加器(6分)(3)VHDL语言编写十二进制同步计数器(9分)引脚定义: reset 复位 e

5、n 计数控制 clk 时钟 qa,qb,qc,qd 计数器输出EDA技术第二学期期末试题B答案一 填空题(20分)VHDLDEVICE.LIB SYMBOLS.LIB实际零件焊接到电路板时所指示的外观和焊点的位置电子设计自动化 电子CAD技术ALTERA,XILINXWAIT电路连接SRAM-BASE2.54mm 300mil元件外观和元件引线端子的图形二 名词解释(20分)1 PLD/FPGA PLD是可编程逻辑器件(Programable Logic Device)的简称,FPGA是现场可编程门阵列(Field Programable Gate Array)的简称,两者的功能基本相同,只是

6、实现原理略有不同,所以我们有时可以忽略这两者的区别,统称为可编程逻辑器件或PLD/FPGA。2.过孔 当需要连接两个层面上的铜膜走线时就需要过孔(Via),过孔又称为贯孔、沉铜孔和金属化孔。过孔分为穿透式(Through)、半隐藏式(Blind)和隐藏式(Buried)3.铜膜线 就是连接两个焊盘的导线,称为Track,一般铜膜线走线在不同层面取不同的走向,例如顶层走水平线,则底层走垂直线。顶层和底层走线之间的连接采用过孔(Via)连接。4 PROM、PAL和PLA PROM:与阵列固定,或阵列可编程,一般用作存储器,其输入为存储器的地址,输出为存储器单元的内容。但输入的数目太大时,器件功耗增

7、加,其局限性大。PLA:与或阵列均可编程,但是其慢速特性和相对PAL、PROM而高得多的价格妨碍了它被广泛使用。PAL:或阵列固定,与阵列可编程,其第二代产品GAL具有了可电擦写、可重复编程、可设置加密的功能。5 自顶向下的/自下而上的设计方法自下而上的设计方法,使用该方法进行硬件设计是从选择具体元器件开始,并用这些元器件进行逻辑电路设计,从而完成系统的硬件设计,然后再将各功能模块连接起来,完成整个系统的硬件设计,自顶向下的设计方法就是从系统的总体要求出发,自顶向下分三个层次对系统硬件进行设计。第一个层次是行为描述第二个层次是数据流描述第三个层次为逻辑综合三 选择题(12分)1 A 2D 3A

8、 4D 5B四 简答题(6+6=12分)1原理图设计步骤(6分) 设置原理图设计环境 1分 放置元件 1分 原理图布线 1分 编辑与调整 1分 检查原理图 1分 生成网络表 1分2过程调用语句可以并发执行,但要注意那些问题:( 6分)并发过程调用是一个完整的语句,在它之前可以加标号 2分并发过程调用语句应带有IN,OUT或INOUT的参数,他们应该列在过程名后的括号内 2分并发过程调用可以有多个返回值 2分五 论述题MAX+PLUSII软件设计流程(13分)输入项目文件名(File/Project/Name) 输入源文件(图形、VHDL、AHDL、Verlog和波形输入方式)指定CPLD型号(

9、Assign/Device)设置管脚、下载方式和逻辑综合的方式,若上一步用的是AUTO则不需设置管脚(Assign/Global Project Device Option,Assign/Global Logic Synthesis)保存并检查源文件(File/project/Save & Check)文件名与实体名一致。指定管脚(Max+plus/Floorplan Editor)保存和编译源文件(File/project/Save & Compile)生成波形文件(Max+plus/Waveform Editor)仿真(Max+plus/Simulator)下载配置(Max+plus/Pr

10、ogrammer)六VHDL语言编程(6+6+10=22分)(1)2输入或非门LIBRARY ieee;use ieee.std_logic_1164.all;entity nor2 isport(a,b: in std_logic; y: out std_logic);end nor2;architecture nor_behave of nor2 isbegin y=a nor b;end nor_behave;(2)半加器LIBRARY ieee;USE ieee.std_logic_1164.all;ENTITY half ISPORT (a, b: IN std_LOGIC; s,c

11、o: OUT std_LOGIC);END half;ARCHITECTURE half1 OF half ISsignal c,d :std_logic;BEGIN c=a or b; d=a nand b; co=not d; s=c and d;end half1;(3)十二进制同步计数器引脚定义: reset 复位 en 计数控制 clk 时钟 qa,qb,qc,qd 计数器输出 LIBRARY ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity count12 isport(clk,reset,en: in std_logic; qa,qb,qc,qd: out std_logic);end count12;architecture behave of count12 issignal count_4: std_logic_vector(3 downto 0);begin qa=count_4(0); qb=count_4(1); qc=count_4(2); qd=count_4(3); process(clk,reset) begin if (re

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论