VHDL语言程序的结构_第1页
VHDL语言程序的结构_第2页
VHDL语言程序的结构_第3页
VHDL语言程序的结构_第4页
VHDL语言程序的结构_第5页
已阅读5页,还剩19页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、VHDLVHDL语言及应用(三)语言及应用(三) VHDL语言程序的结构语言程序的结构VHDLVHDL语言及应用(三)语言及应用(三) 应知: 了解VHDL程序结构。 掌握实体和结构体基本概念以及定义方法。应会: 进一步熟练使用 Maxplus II的运行环境。 初步了解EDA实验设备的结构、功能和使用方法。本次课教学目标本次课教学目标VHDLVHDL语言及应用(三)语言及应用(三) 硬件描述语言硬件描述语言 HDL - Hardware Description Language1、ABEL-HDL2、AHDL3、VHDL4、Verilog HDLIEEE标准硬件描述语言:用一种形式化方式描述

2、电路和系统的语言。VHDLVHDL语言及应用(三)语言及应用(三) C、ASM.程序CPU指令/数据代码:010010 100010 1100软件程序编译器 COMPILER硬件描述语言与其他高级语言比较硬件描述语言与其他高级语言比较VHDL/VERILOG.程序 硬件描述语言 综合器 SYNTHESIZER为ASIC设计提供的 电路网表文件VHDLVHDL语言及应用(三)语言及应用(三) 可编程逻辑器件的设计流程可编程逻辑器件的设计流程 设计输入电路原理图硬件描述语言 设计实现优化合并、映射布局、布线功能仿真时序仿真下载编程器件测试VHDLVHDL语言及应用(三)语言及应用(三) VHDL

3、设计流程设计流程 : V-S-F-PHDLEntryUse any Text Editor to input your designittingArchitecture SynthesisTo map the logicto Altera Device Architecturee.g. LUT, Carry/Cascade Chain, EAB.(further logic optimization)ynthesisUse any VHDL Compiler to convertyour language designto Gate level withoptimization in term

4、of Speed / AreaConfigure/Programming the Altera Deviceand do on board debugging,prototyping or productionerogr. Down LoadVHDLVHDL语言及应用(三)语言及应用(三) VHDL程序实例分析程序实例分析12输入端与非门的设计实体ENTITY nand2 IS PORT(A, B: IN Bit; C : OUT Bit ); END nand2; ARCHITECTURE a OF nand2 IS BEGIN C= not (A AND B); END a;结构体一个VH

5、DL程序必备两个部分: 实体(ENTITY)说明 结构体(ARCHITECTURE)VHDLVHDL语言及应用(三)语言及应用(三) 实体结构体LIBRARY IEEE;USE IEEE.STD-LOGIC-1164.ALL;ENTITY demulti-2 IS PORT(D,S : IN STD-LOGIC; Y0,Y1 :OUT STD-LOGIC );END demulti-2 ;ARCHITECTURE a OF demulti-2 ISBEGIN PROCESS BEGIN IF S=0 THEN Y0=D; ELSE Y1=D; END IF; END PROCESS;END a

6、;VHDL程序实例分析程序实例分析2库说明程序包说明一个完整的VHDL程序包括五个部分: 实体(ENTITY)说明 结构体(ARCHITECTURE)库(LIBRARY)程序包(PACKAGE)配置(CONFIGURATION)VHDLVHDL语言及应用(三)语言及应用(三) 实体说明部分实体说明部分给出了器件的输入/输出引脚(PORT)的外部说明,相当于是画原理图时的一个元件符号。 VHDL程序的一般结构程序的一般结构AND2的电路符号 ABCABCAND20器件and2的外部引脚说明,这部分称为实体ENTITY and2 IS PORT(a, b: IN BIT; c: OUT BIT);

7、 END and2;-实体名称为and2-a、b是两个输入引脚-c为输出引脚VHDLVHDL语言及应用(三)语言及应用(三) 结构体部分结构体部分给出了该器件的内部功能信息,是对实体功能的具体描述。 VHDL程序的一般结构程序的一般结构器件and2的内部功能说明,这部分称为结构体ARCHITECTURE exam1 OF and2 ISBEGIN c=a AND b;END exam1;-结构体exam1是对实体-and2的内部描述,描述-了and2器件的内部功能-为实现一个2输入端与门注意:VHDL的所有语句都是以“;”结束,而“;”后的“-”表示是程序注释。VHDLVHDL语言及应用(三)

8、语言及应用(三) 实体实体 (Entity)是是VHDL语言描述的对象。 实体说明的格式实体说明的格式 ENTITY 实体名 IS GENERIC(类属参数说明); PORT (端口说明); END 实体名; 在实体说明中应给出实体命名实体命名,并描述实体的外部接接口口情况。 实体说明实体说明格式格式VHDLVHDL语言及应用(三)语言及应用(三) 端口端口(PORT)对应于元件符号的外部引脚。 端口说明语句是对端口信号名、端口模式和数据类型的描述。 实体说明实体说明端口说明端口说明 例如: ENTITY nand2 IS PORT(A, B : IN Bit; C : OUT Bit );

9、END nand2; 端口说明语句的一般格式如下端口说明语句的一般格式如下: PORT(端口信号名:端口模式 端口类型; 端口信号名:端口模式 端口类型);VHDLVHDL语言及应用(三)语言及应用(三) 端口信号名端口信号名是赋给每个外部引脚的名称,在实体中必须是唯一的,不能有重复现象。 端口模式端口模式用来说明信号的方向。 实体说明实体说明端口说明端口说明VHDLVHDL语言及应用(三)语言及应用(三) 信号方向说明 方向定义含 义IN输入OUT输出(结构体内不能再使用)INOUT双向(可以输入,也可以输出)BUFFER输出(结构体内可再使用),可以读或写 其中,其中,BUFFER是是IN

10、OUT的子集,它与的子集,它与INOUT的区别在于:的区别在于:INOUT是双向信号,既可以输入,也可以输出,而是双向信号,既可以输入,也可以输出,而BUFFER也是实也是实体的输出信号。体的输出信号。 实体说明实体说明端口说明端口说明VHDLVHDL语言及应用(三)语言及应用(三) 端口类型:端口类型: 指的是端口信号的取值类型。 BIT 二进位类型二进位类型,取值只能是0、1,由STANDARD程序包定义。 BIT_VECTOR 位向量类型位向量类型,表示一组二进制数,常用来描述地址总线、数 据总线等端口。STD_LOGIC 工业标准的逻辑类型工业标准的逻辑类型,取值0、1、X、Z等,由S

11、TD_LOGIC_1164程序包定义。 STD_LOGIC_VECTOR 工业标准的逻辑向量类型工业标准的逻辑向量类型,是STD_LOGIC的组合。 BOOLEAN 布尔类型,布尔类型,取值FALSE、TRUE。 INTEGER 整数类型整数类型,可用作循环的指针或常数,通常不用作I/O信号。 实体说明实体说明端口说明端口说明VHDLVHDL语言及应用(三)语言及应用(三) 结构体是一个实体的组成部分,是对实体功能的具体描述具体描述。结构体主要主要是描述实体的硬件结构、元件之间的互连关系、实体所完成的逻辑功能以及数据的传输变换等方面的内容。 结构体结构体格式格式 ARCHITECTURE 结构

12、体名 OF 实体名 IS 说明语句 内部信号,常数,数据类型,函数等的定义; BEGIN 功能描述语句 END 结构体名; VHDLVHDL语言及应用(三)语言及应用(三) 结构体的一般构造图块语句(BLOCK)进程语句(PROCESS)信号赋值语句子程序调用语句元件例化语句说明语句结构体(ARCHITECTURE) 结构体结构体构造构造VHDLVHDL语言及应用(三)语言及应用(三) 库库(LIBRARY) VHDL语言中的库用以存放已编译过的设计单元(包括实体说明、结构体、配置说明、程序包); 库中内容可以用作其他VHDL描述的资源; 在VHDL语言中,库的说明总是放在设计单元的最库的说明

13、总是放在设计单元的最前面前面,表明该库内的资源对以下的设计单元开放。 库(LIBRARY)语句格式如下: LIBRARY 库名;库名; 常用的库有IEEE库、STD库和WORK库。无需说明VHDLVHDL语言及应用(三)语言及应用(三) 程序包(程序包(PACKAGE) 由于一个库内资源很多,因此在打开库后,还要说明使用的是库中哪一个程序包以及程序包中的项目名。 说明格式 LIBRARY 库名; USE 库名.程序包.使用的项目 库在被使用前均需加上上面所示的这两条语句。 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL;VHDLVHDL语言及应用(三)语言及应用(三) VHDL程序结构小结程序结构小结LIBRARY IEEE;USE IEEE.STD-LOGIC-1164.ALL;ENTITY demulti-2 IS PORT(D,S : IN STD-LOGIC

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论