建设高水平的_图文_第1页
建设高水平的_图文_第2页
建设高水平的_图文_第3页
建设高水平的_图文_第4页
建设高水平的_图文_第5页
已阅读5页,还剩8页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、建设高水平的IC设计网上合作研究中心 东南大学2000年9月一 主要有1的主要目标是建设因特网上的IC设计合作研究平台开展学术讨论与信息交流 加强电子信息各交叉学科间的交流和发展科学研究 和增强科研创新能力中心强调科学研究和人才培养相结合如高值快速计 算加强合作指导积极 建设网上电子版集成电路设计培训教材和课程教学教材等 根据在我国建立IC多项目晶圆(MPW加工的迫切性与重要性首先利用因特网上快速传输的条件网络化的共享数据库的VLSI设计 教育中心是其重要组成部分在一年时间内对于各成员单位的有新意与创 造性的IC设计 按照统一的物理版图数据格式进行多项目汇总 3各校联合统一IC设计网上合作研究

2、中 心以目前的IC设计网上合作研究中心为基础研究进行IC设计网 上合作需要解决的理论b实验解决网上管理的规定 2考核目标 项目工作组织三个方面列为最后完成考核目标 ²在一年时间内对于各成员单位的有新意与创造性的IC设计 按照统一的物理版图数据格式进行多项目汇总清华大学组织研究生教学多项目芯片工程研 究实验九月进行设计答辩 除合作进行多项目晶圆工程外IC设计网上合作 研究中心实现专业和本学科专家相关网站链接和搜索引擎设计 设计和提供学术讨论室和适当的远程会议环境安全会后管理委员会和学术委员会对目 标和专家意见进行了落实6两个月办公室派出孙 义和和高志强到参加网上合作的各校所在地进行工作

3、考察和学习交流 同时上报教育部我们做的主要工作 和主要体会如后所述 网站建设基本结构方案体系结构采用分布式计算结构采用异步数据共享和数据增量复制技术基于WEB技术1 采用Spoke-Hub结构和面向对象技术服务器上安装了统一的 虚拟实验室数据库和应用软件从服 务器读取需要的数据和文件各个单位的服务器每 小时同步一次分别以增量复制方式复制到其它的服务器上 又能避免国际互联网络低带宽的限制系统的体系结构图如图1ÆäËû¸÷¸öѧУÐèÒª

4、;·Ö±ðÉèÖÃÒ»¸ö·þÎñÆ÷½øÐÐÊý¾Ý½»»»»¹ÐèÒªÉèÖÃһ̨±¸·Ý·þ

5、;ÎñÆ÷2 ÔÚÖ÷ÌåÒÀÍе¥Î»ÉèÖÃÒ»¸öÖ÷Óò¹²Ïí·þÎñÆ÷·þÎñÆ÷Ò»·

6、89;ÃæÒªºÍµ¥Î»ÄÚ²¿µÄ¾ÖÓòÍøÏàÁ¬¸Ã·þÎñÆ÷Òª¾ß±¸ºÜÇ¿µÄÍøÂ

7、31;°²È«±£Ö¤ÉèÊ©¹ÜÀíÓÃÖ÷ÌåÈí¼þ ¹²ÏíµÄÊý¾Ý×ÊÔ´µÈ¶¼·ÅÔÚ¸&#

8、195;·þÎñÆ÷ÉÏ»¹ÐèÒª½¨Á¢ÄÚ²¿ÍøÂçÉèʩʵÏÖÕû¸öϵͳµÄÐÅÏ¢Í&#

9、168;Ѷ从接入系统的方式看一种是局域网接入图1 系统体系结构图第一目前在国内单位中采用的最多的就是通过集线器级连方式只需要将计算机通过双绞线或者是电缆直接与集线器连接即可 图2 局部系统结构图局域网各学校都需要设置自己的专用服务器备份服务器只在清华内部设置避免重复建设 拨号上网在实际应用中例如成员在家中使用计算机时在这种情况下 必须提供相应服务可以采用在单位内部设置拨号服务器 使得与普通的直接联接局域网效果相同 图3 拨号上网使用示意图只要设置好拨号服务器但是要注意的是即只有内部人员才能够通过电话线访问系统 这样的方案有以下特点跨网络和平台系统集成度高多项目晶圆工程使研

10、究生尽快适应集成电路学科设计的需求 一般要数十万元加工一次 版层需12 13块0.25µm 加工费用比这高的多 设计一组合作研究其一在网上公布清华大学工艺线的1.2µm CMOS集成电路标准工艺模型和版图设计规则进行 合作 由清华大学进行汇总和组装待加工完成后 现已有西安交通大学报名参加这次合作课题 微电子学设计课程进行多设计课题项目合作设计研究每个学生进行1到2个电路设计或从电路到芯片笔记本电脑 学生完成整个集成电路设计过程即从算法定义开始 电路设计封装和测试目前该 课题进展情况良好设计课题情况如下芯片信息chip电路 序号姓名GDS文件名管脚封装标准设计内容芯片大小m

11、0张尊侨chip01428Õñµ´Æ÷1369.8 1 左上1073.4 2王自强chip22628ÔËËã·Å´óÆ÷2445.6 3 左上共3个电路4左上2028.0 5王乃龙chip52828ÏÞѹÏÞƵµç·2178.6 6 左上2400.0 7吴恩德chip72828ƵÂ

12、Ê×ÛºÏÆ÷µ¥Ôªµç·2502.6 8 左上共2个电路9左上2412.0 10管超chip102028¶ÑÕ»´æ´¢Æ÷1644.3 11左上1283.4 12路超Rffront*RF模块2150.8 ¹²3个电路14左上2400.0 15张春chip15*28±È½ÏÆ

13、;÷ 341.4 16邓亮chip163240DES加密内核5227.2 2100.0 18张春chip182828È˹¤¶úÎÏ¿ØÖƵç·1889.4 芯片分布图概况如下表 CHIP3 CHIP2 CHIP0 CHIP4 CHIP2 CHIP1 CHIP5 CHIP10 CHIP11 ALL CHIP18 RFFRONT CHIP17 CHIP18 CHIP15 CHIP10 CHIP1 CHIP10 RFFRONT

14、 版图基本状况如图4所示 其三 充分利用成员单位原有 多项目晶圆工程 加工资源 进行合作研究 如东南大 学主要是在光纤集成电路设计方面优势 并和美国MOSIS有密切联系和境外加工渠道 能加 工深亚微米集成电路 如0.25µm的设计 就让东南大学牵头 清华大学在RF电路设计配合 进行0.25µm的多项目晶圆工程合作 将设计提交给东南大学于今年7月底 完成设计提交给 TSMC加工,这也是对在目前国内工艺线不能满足设计需求的一种补充手段和措施 四 推进集成电路设计联合 向社会开放 加速网上合作研究过程 由于有了互联网的条件 我们在集成电路设计联合上主要进行了下面的研究工作 1 成

15、电路设计规则 集成电路SPICE参数共享 集 目前提供所有合作成员单位使用的集成电路设计规则 国内单位有清华大学工艺线1.2µm 标准CMOS电路工艺 无锡华晶上华公司0.8µm到0.5µm标准CMOS 工艺 首钢NEC微电子 学有限公司0.8µm标准CMOS工艺等 图4. 多芯片分层版图 图5. 16号电路版图全貌 境外有TSMC公司的0.5µm一直到0.25µm标准CMOS工艺设计规则等 2 校分工合作建立了多个专业数据库 主要有 各 ² 专家数据库 将合作单位内的专家的姓名 研究方向 研究成果和发表论文用 数据库方式提

16、供给合作研究学校 以便进行专业领域的研究讨论 ² 设计专业资源数据库 含有设计工具的名称 设计工具的公司等 主要提供集 成电路设计领域中设计和设计验证工具的查询等 ² 设计文献数据库 主要将微电子学和集成电路领域的近年来国际最高学术会议 ISSCC的会议文集 光盘版 IEEE的固态电路杂志 国际设计自动化会议文集 光盘版 等上网 采用授权方式进行查询和共享 并向社会开放 清华大学 还将自身的论文摘要上网 以供合作单位使用 ² 建立镜像网站和网页 IC设计网上合作研究中心相互讨论认为可以在无线接入 无线数据通信方面进行合作 发展射频集成电路 推进学科向世界一流目标发

17、 展 中心和国际著名的Stanford大学合作 将Stanford的射频研究所的网页进行 镜像化 作成镜像网页 3 校联合在十五计划来临之际 正在筹划联合起来 申请国家级项目 如设计有自 各 主版权的各种集成电路设计模块 IP 模块等 4 以参考下列网址的网页 或从 进入 可 5 为了进一步和社会合作 利用社会的信息 我们还和清华大学微电子学研究所毕业在 美国硅谷的清华学子合作 在硅谷的清华学子成立了网站 硅谷-清华微电子所校友会 我 们在网页上建立了链结 实现清华大学校内和硅谷学子间学术交流和沟通等 五 积极争取各方面支持 加快IC设计业教育社会化 为了推进微电子学和 设计发展 人才培养是当

18、务之急 目前国际和国内 IC 设计人 才极为缺乏 如果建立象华虹这样一条集成电路加工线 使其满负荷运行 则至少需要 20 个 有 50 100 个设计人员 每年能设计 10 20 个集成电路产品 且是市场需求量很大 IC 设计公司进行设计支撑 很明显集成电路设计和社会需求存在矛盾和极大缺口 我们现在每 年真正培养出的设计人才屈指可数 急需利用互联网的条件进行教育的社会化 建立网上虚拟微电子园区是一个重要途径 网上虚拟微电子园区应集教育 科研 产业 为一体 1 校的根本任务是培养人才 人才是高科技产业的核心 而我国的人才培养能力落 高 后是我国微电子产业落后的根本原因 建立网上虚拟园区 可通过网络远程教育 工业与科研项目的合作 大量培养国家亟需的人才 特别是微电子设计人才 建立 网上微电子产业的人才基地 2 上虚拟微电子园区要实现自我运作与自我发展 需要在网上进行工业项目的联合 网 开发 利用各高校的人力资源联合开发国家纵向的攻关项目和企业的横向项目 来 支持网上虚拟微电子园区的发展 以工业促发展 3 上虚拟微电子园区要跟踪国内外的最新动态 根据各校自身特点实现资源共享 网 联合进行科研攻关 加速科技成果向现实生产力

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论