

下载本文档
版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、FFT 处理器寄生参数提取和静态时序分析摘要:本文在简要介绍寄生参数提取工具 Star-RCXT 和静态时序分析工具PrimeTime 的基础上,对已通过物理验证工具 Calibre DRC 和 LVS 的 FFT 处理器 版图用 Star-RCXT 工具进行了基于 CCI 的寄生参数提取,得到内部互连网络的详 细寄生电容和电阻值。最后 , 用 PrimeTime 工具进行了精确的版图时序分析。关键词:FFT 处理器;Star_RCXT;寄生参数抽取;Primetime;静态时序分析引言对于应用 0.18mm 及以下工艺的设计而言,二维提取进行 Sign-off 静态时序分析 就显得有些粗略,
2、而且精度不够。这是因为对于 0.18mm 及以下工艺,毗邻金属连 线所产生的耦合电容在设计中已经成为不得不考虑的寄生参数 ,于是先进的寄生 参数提取工具就可以检测到相同层次之间产生耦合电容的影响。因此对 0.18mm 及以下工艺的设计 ,通过物理验证 ,在进行流片之前 ,需要用专门的寄生参数抽取 工具将每一个节点的RC 网络信息写成文件传递给设计,即所谓的反标,再用静态 时序分析工具做精确的版图时序分析。Star-RCXT 工具介绍Star- RCXT 以其高精度、友好的用户界面以及和其它工具良好的结合成为目前 业界比较流行的一款寄生参数提取工具,是专门针对0.18mm及以下工艺的寄生 参数提
3、取所设计的。它采用了二点五维的几何提取技术 , 达到了三维的提取精度 但比三维的抽取工具速度快很多 , 能够快速准确地对上百万门的设计进行全局的 寄生参数提取。用 Star-RCXT 进行寄生参数提取的条件应用 Star-RCXT 进行精细寄生参数提取 , 还需要两个与工艺参数有关的文件: mapping 文件和 ITF(I nterconnect Tech no logy Format)文件。ITF 文件是由晶圆代工厂直接提供的 , 它包含的信息主要有:工艺采用的各个层次 (包括电介 质、过孔和金属导线等 ), 各个层次在工艺流程中的厚度、宽度等物理尺寸 , 各个 层次的电气参数(如介电常数
4、、方块阻值等)。Star-RCXT 不能直接使用 ITF 格 式的文件 , 它提供 grdgenxo 命令将晶圆代工厂提供的 ITF 格式文件转换成 Star-RCXT 所需要的.nxtgrd 格式文件,Mapping 文件用于实现这种转换过程,将 目标.nxtgrd 文件中各个层次名称与设计数据库中的层名称相对应起来。Star-RCXT 支持的格式寄生参数提取工具 Star-RCXT 支持多种格式输入,主要有 Milkyway、 Lef/Def、 Hercules和 Calibre 格式。也支持多种格式输出 , 如输出格式为 IEEE 1481 标准的 SPEF(Standard Paras
5、tic Exchange Format) 格式 ; 输出与 PrimeTime 静态 时序分析工具最有效对接的 SBPF(Synopsys Binary Parasitic Format)格式 ;输出格式包含了每一个节点 RC 网络信息的 DSPF (Detailed StandardParasitic Format) 格式;还有 STAR NETNAMEMW CONLY 等格式。因为寄生电 容值与其毗邻的金属连线有密切关系 , 版图上一个图形有可能会影响到其相邻模 块中某一条连线的寄生参数提取 ,因此寄生参数提取是针对 flat 版图,提取出来 的寄生参数信息是不带有层次的。也正是由于这个原
6、因 , 寄生参数提取一般需要 花费较长的时间 , 并占用较大的硬件资源。Primetime 工具介绍PrimeTime 是 Synopsys 公司提供的一个针对复杂全芯片进行静态时序分析的工 具。PrimeTime 可以集成于逻辑综合和物理综合的流程,让设计者分析并解决复 杂的时序问题 , 提高时序收敛的速度。它是一种穷尽分析方法 , 不依赖于激励 , 分 析检查电路或设计对象中的所有时序路径 ,包括无效路径,能够保证 100%的覆盖 率。它运行速度快 , 占用内存少 , 完全克服了动态时序验证的缺陷 , 适用于超大规 模芯片系统的电路验证。PrimeTime 分析原理PrimeTime 进行
7、静态时序分析时把整个芯片按照时钟分成许多时序路径。路径 的起点是时序单元的输出引脚或是设计的输入端口 , 路径的终点是时序单元的输 入引脚或是设计的输出端口。根据起点和终点的不同 , 可将逻辑电路分解为图 1 中用虚线表示的四种路径 ,分别代表了以下四种类型 : 路径 1 起始于输入端口 , 终止于时序单元的数据输入端;路径 2 起始于时序单元的时钟引脚 ,终止于时序 单元的数据输入端;路径3 起始于时序单元的时钟引脚 ,终止于输出端口;路径 4 起始于输入端口 , 终止于输出端口。图 1 逻辑电路中的四种时序路径PrimeTime 工具把设计打散成一系列时序路径之后 , 沿每条路径计算延时。
8、路径 的总延时是该路径中所有单元延时和连线延时的和。单元延时为路径中从逻辑 门的输入到输出的延时量 , 若没有提供反标延时信息 ,PrimeTime 会根据工艺库 中提供的此单元延迟表来计算单元延时。 典型的单元延迟表是输入传输时间和 输出负载电容的函数 , 基于二维表格来计算每个单元的延时。对于在表格中找不 到对应点的情况 , 可通过内插或外推表格中的值来获得当前条件下的延时值。连 线延时是时序路径中从一个单元的输出到下一个单元输入的延时总和。PrimeTime 可读取由专门提取工具得到的详细内部互联网络的寄生电容和电阻 值, 并基于此精确地计算连线延时 , 以完成精确的版图时序分析。Pri
9、meTime 分析要求静态时序分析的目的是保证设计中的以上四种类型路径可以满足建立时间和保 持时间的要求。即无论其起点是什么 , 信号都可以被及时地传递到该路径的终点并且在电路正常工作所必需的时间段内保持恒定。如果建立时间和保持时间不 能得到满足 , 触发器就无法采样到正确的数据。对 FFT 处理器进行寄生参数提取和静态时序分析当 FFT 处理器完成版图设计,通过 Calibre DRC 和 LVS 进行 Sign-off 的静态时 序分析时,可用专门的寄生参数提取工具 Star-RCXT 将每一个节点的 RC 网络信 息写成文件传递给设计,再用静态时序分析工具 PrimeTime 读取由 S
10、tar-RCXT 工 具得到的详细内部互联网络的寄生电容和电阻值 , 并基于此精确地计算连线延迟 做精确的版图时序分析。对 FFT 处理器进行寄生参数提取 由于 FFT 项目采用 Mentor 公司的 Calibre 工具进行DRC 和 LVS,因此寄生参数 提取工具 Star-RCXT 采用的输入格式为 Calibre,基于CCI(Calibre Connectiity Interface) 的 Star-RCXT 寄生参数提取流程如图 2 所示。图 2 基于 CCI 的寄生参数提取流程 在 Calibre 中采用 flat 方式对 FFT 处理器进行 LVS,通过 LVS 后自动产生 SV
11、DB 目录,并将配置 LVS 运行时设置的内容保存为 lvsset 文件。然后编写 query.cmd 文件,该文件指定基于 CCI 的 Star-RCXT 寄生参数提取所需文件的位 置, 如标注的 GDSII 版图、层映射信息、顶层端口信息、理想版图网表、网络名 信息、交互表等。编写好 query.cmd 文件后,在 calibredrv 工具的命令行中执 行命令:Calibre- query svdb query.cmd, 会生成 Star-RCXT 寄生参数提取 所需的文件 , 如包含多边形和连通性信息的文件 xtalkdetailDRCLVS.agf 、元件 交互参考信息的文件xtal
12、kdetailDRC LVS.ixf 、网络交互参考信息的文件 xtalkdetailDRCLVS.nxf 、理想版图网表文件 xtalkdetailDRCLVS.nl 和器件表 文件 xtalkdetailDRCLVS. devtab 等。本设计用运行 Star-RCXT 命令文件 (star.cmd) 来进行寄生参数提取 , 在编写 star.cmd命令文件时要输入: 模块名称 xtalkdetailDRCLVS 、 层映射文件 SmicVTMP_LO_SRAM_MR_MM_HV_LC_018 电源/ 地网络名称 VDD 和 GND 力卩 工工艺文件smic018.nxtgrd、提取参数的
13、输出格式 SPEF 提取网络的范围、是 否要将耦合电容折算成对地电容、配置LVS 运行的设置文件 lvsset 和指定进行基于 CCI 寄生参数提取所需文件位置的文件 query.cmd 等。然后在 Star-RCXT 中运行 StartXtract -clean star.cmd 命令即可完成寄生参数提取 , 输出文件名 为xtalkdetailDRCLVS.spef 。对 FFT 处理器进行静态时序分析首先在 PrimeTime 的启动文件 (.synopsys_pt.setup) 中设置与库文件相关的信 息, 如用 set_link_library * smic18_ss.db smic
14、18IO_line_ss.db命令来设置链接库 , 用 set target_library * smic18_ss.db smic18_IO_line_ss.db命令来设置目标库、用 set_min_library 命令来指定工艺库用于最大延迟和最 小延迟分析等。然后用 primetime 命令启动 PrimeTime 的图形用户界面 , 对 FFT 处理器进行Sign-off 的静态时序分析。具体流程包括:读入设计数据、约束时 序、设定环境和分析条件、检查设计数据和分析设置参数 , 以及执行分析和检查 结果 5 步。读入设计数据读入网表文件用 read_verilog 命令,读入由 Sta
15、r-RCXT 提取输出的 SPEF 格式寄 生网表用 read_parasitics 命令。若要分析建立时间则要读入最坏情况的寄生 电容和电阻信息 , 若要分析保持时间则要读入最好情况的寄生电容和电阻信息。约束时序进行 Sign-off 静态时序分析时 , 由于现在的网表中已经包括了实际的时钟信息 , 用create_clock 命令来定义一个时钟的基本信息 , 如周期、波形等 , 将该时钟信 号在实际的时钟树中传播 ,进而得到实际的时钟延时和偏移。用 set_propagated_ clock 命令可以根据时钟树 , 自动计算出时钟信号到达终点的 延时和偏移。 Sign-off 静态时序分析
16、可不设置时钟的确定性 , 但有时为了提高 设计可靠性 , 用 set_clock_uncertainty 命令对建立时间和保持时间都设置一个 很小时间值。根据设计规范要求用set_input_delay 命令直接设置输入延时值 , 用 set_output_delay 命令直接设置输出延时值等。设定环境和分析条件PrimeTime 中 set_operating_conditions 命令用来根据工艺库指定工艺、温度 和电压的工作条件。分析建立时间时工作条件应当在最坏情况进行 , 即set_operating_conditions worst; 分析保持时间时工作条件应当在最好情况进 行, 即
17、set_operating_conditions best 。用 set_load 命令设置输出端口的负 载, 用set_driving_cell 命令指定输入端口的驱动单元等。另外可用 set_case_analysis 命令在某个端口设置为固定逻辑常数或逻辑转换限制该信 号在设计中传播 , 如果在时序分析时不考虑扫描链的影响 , 可执行 set_case_analysis 0 get_ports scan_en_pad 命令。检查设计数据和分析设置参数在进行时序分析前要先检查设计数据 , 如层次、库、端口、单元等 , 以及分析设 置参数 , 如时钟、输入延迟约束、输出延迟约束等是否已经设置
18、或设置是否正 确。如 , 用check_timing 命令来检查是否存在未定义的时钟、未定义的输入到 达时间和未定义的输出约束等 ; 用 report_design 命令输出当前设计的一系列属 性, 如分析类型、所选的工作条件、线负载模型和设计规则等 ; 用 report_port 命令显示端口名、引脚电容、线电容和输入输出延迟等端口信息。执行分析和检查结果在设计数据和参数设置均正确的情况下进行时序分析 , 然后查看分析结果 ,获得 设计具体的时间信息。如用 report_timing 命令产生一条最大时延路径的详细 报告,包括路径信息 (工作条件、线负载模型的模式、路径起点、路径终止点和 路径类型等 ) 、路径延时、路径延时要求和总结部分。用 report_constraint- all_violator 命令报告所有的建立时间时序违规。为了准确了解FFT 处理器所有路径的建立时间情况,可执行菜单命令 Timing Histogram EndpointSlack弹出 Endpoint Slack 对话框,在 Delay type 中选择 max 后按 OK 可得如 图 3 所示的所有路径建立时间情况 , 从中可知建立时间最小值为 0.13792, 满足 建立时间要求。再运行命令 report_analysis_coverage 得 PrimeTime 分析的 路径条数为
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 云南大学《医学人文素养》2023-2024学年第二学期期末试卷
- 山东劳动职业技术学院《学前教育名著选读》2023-2024学年第二学期期末试卷
- 河南财政金融学院《英语精读1》2023-2024学年第一学期期末试卷
- 燕京理工学院《ERP沙盘综合模拟实验》2023-2024学年第二学期期末试卷
- 喀什职业技术学院《金融发展与实践》2023-2024学年第二学期期末试卷
- 濮阳科技职业学院《英语写作1》2023-2024学年第一学期期末试卷
- 邯郸幼儿师范高等专科学校《钢结构设计基本原理》2023-2024学年第二学期期末试卷
- 江西师范大学科学技术学院《音乐与儿童歌曲赏析四》2023-2024学年第二学期期末试卷
- 贵阳职业技术学院《法医学理论》2023-2024学年第一学期期末试卷
- 家政公司家政服务合同
- 重大危险源识别表
- 《上海市奉贤区小区机动车停放管理工作调查报告》4300字
- 申请结婚报告表实用文档
- 《广东省普通高中学生档案》模板
- 高职院校与区域经济协调发展研究
- YY/T 1492-2016心肺转流系统表面涂层产品通用要求
- YS/T 1028.3-2015磷酸铁锂化学分析方法第3部分:磷量的测定磷钼酸喹啉称量法
- JJF 1104-2003国家计量检定系统表编写规则
- GB/T 665-2007化学试剂五水合硫酸铜(Ⅱ)(硫酸铜)
- GB/T 17891-1999优质稻谷
- GA 588-2012消防产品现场检查判定规则
评论
0/150
提交评论