Cadence数模混合电路设计_spetreVerilog课件_第1页
Cadence数模混合电路设计_spetreVerilog课件_第2页
Cadence数模混合电路设计_spetreVerilog课件_第3页
Cadence数模混合电路设计_spetreVerilog课件_第4页
Cadence数模混合电路设计_spetreVerilog课件_第5页
已阅读5页,还剩62页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、Cadence 实验系列实验系列12_数模混合电路设计数模混合电路设计_spetreVerilog数字设计算法设计模拟设计数字版图数模混合验证模拟版图数模混合验证Tape-out目目 录录2134混合信号模拟简介混合信号模拟简介操作示例操作示例混合信号模拟的实现混合信号模拟的实现混合信号模拟的特点混合信号模拟的特点2134混合信号模拟简介混合信号模拟简介混合模拟的应用混合模拟的应用混合模拟的定义混合模拟的定义Cadence中的实现中的实现1.模拟信号模拟仿真模拟信号模拟仿真2.数字信号模拟仿真数字信号模拟仿真3. 混合信号模拟仿真混合信号模拟仿真4. 商用混合信号模拟仿真商用混合信号模拟仿真指

2、基于节点积分分析的瞬态模拟仿真方法指基于节点积分分析的瞬态模拟仿真方法 f1(x1, x2,) =0 f2(x1, x2,) =0 1.模拟信号模拟模拟信号模拟用高斯消去法用高斯消去法 求解线性方程求解线性方程是指基于松弛算法的由事件驱动的一种仿真模拟方式。是指基于松弛算法的由事件驱动的一种仿真模拟方式。1 234事件驱动事件驱动 : testbench松弛算法松弛算法2.数字信号模拟数字信号模拟以特定的组合方式处理电路中的模拟数字信号的仿真过程以特定的组合方式处理电路中的模拟数字信号的仿真过程3. 混合信号模拟混合信号模拟模拟信号模拟信号仿真器仿真器 单仿真器架构单仿真器架构双仿真器架构双仿

3、真器架构模拟模拟信号信号数字数字信号信号简化简化模拟模拟信号信号模拟信号模拟信号仿真器仿真器 数字信号数字信号仿真器仿真器 模拟模拟信号信号数字数字信号信号双仿真器的混合信号模拟双仿真器的混合信号模拟A_D仿真方法组合仿真方法组合手工型手工型偶合型偶合型集成型集成型扩展型扩展型A_D仿真器结合度仿真器结合度顺序型顺序型配对型配对型框架型框架型巢套型巢套型单模式单模式spetreverilog偶合型偶合型-配对型配对型-锁步锁步” (lock-step)同步法)同步法 = Cadence spetreverilog3. 混合信号模拟混合信号模拟混合仿真环境混合仿真环境仿真输出数据库仿真输出数据库

4、Verilog-XLSpetreIPCCadence spetreverilog解决方案解决方案 IPC = interprocess communication 3. 混合信号模拟混合信号模拟4. 商用混合信号仿真技术商用混合信号仿真技术Cadence Muti-Mode仿真仿真(MMSIM)解决方案解决方案 模拟信号仿真模拟信号仿真混合信号仿真混合信号仿真射频信号仿真射频信号仿真芯片级电路验证芯片级电路验证 Cadencespetreverilog2134混合信号模拟简介混合信号模拟简介2134混合信号模拟简介混合信号模拟简介混合模拟的应用混合模拟的应用混合模拟的定义混合模拟的定义1. 数

5、模混合电路的设计数模混合电路的设计2. 大型数字集成电路设计大型数字集成电路设计3. 仿真提速仿真提速1-2 数模混合信号模拟的应用数模混合信号模拟的应用1. 数模混合设计1. 数模混合设计信号处理器信号处理器D/AA/D滤波器滤波器传感器传感器放大器放大器接收器接收器数模数模IC1. 数模混合设计数字设计算法设计模拟设计数字版图数模混合验证模拟版图数模混合验证Tape-out数字设计模拟设计混合原理图建立层次化文件配置仿真环境仿 真 验 证大部分电路使用大部分电路使用verilog仿真器仿真;仿真器仿真;指定的关键部分电路使用模拟仿真器以达到高精度指定的关键部分电路使用模拟仿真器以达到高精度

6、.2.大型数字系统仿真A:用作信用作信 号发生器。号发生器。仿真的时候,需要不少的激励信号,而且有着严格的时序关仿真的时候,需要不少的激励信号,而且有着严格的时序关系,要是用系,要是用pulse电源或是别的什么电源来做的话,可要累死电源或是别的什么电源来做的话,可要累死人的。用人的。用verilog写模块的写模块的foundational,就,就 可以比较方便快可以比较方便快捷的构成一个信号发生器。捷的构成一个信号发生器。3.仿真提速仿真提速B:节约模拟的时间。节约模拟的时间。对于一些成熟的已经知道电路输入输出特性的电路,可以用对于一些成熟的已经知道电路输入输出特性的电路,可以用 verilo

7、g写出其特性,这样的话,模拟的时间可以大大的缩短。写出其特性,这样的话,模拟的时间可以大大的缩短。当然,还有就是当然,还有就是verilog 的老本家的老本家-数字电路,也可以用数字电路,也可以用verilog写出写出foundational,节约模拟的时间。,节约模拟的时间。 2134混合信号模拟简介混合信号模拟简介2134混合信号模拟简介混合信号模拟简介混合模拟的应用混合模拟的应用混合模拟的定义混合模拟的定义Cadence中的实现中的实现1. cadence中数模混合仿真的环境中数模混合仿真的环境2. cadence中数模混合仿真的数据流中数模混合仿真的数据流1. 数模混合仿真的环境数模混

8、合仿真的环境Spetreverilog仿真器仿真器2. 数模混合仿真的数据流数模混合仿真的数据流混合信号模拟的实现混合信号模拟的实现操作示例操作示例混合信号模拟简介混合信号模拟简介混合信号模拟的特点混合信号模拟的特点2134混合信号模拟的实现混合信号模拟的实现建立层次文件建立层次文件数字数字-模拟电路设计模拟电路设计制作顶层文件制作顶层文件设置仿真环境设置仿真环境仿真仿真数字设计模拟设计制作顶层文件建立层次化文件配置仿真环境仿 真 验 证1.数数-模电路设计模电路设计 2.数字电路设计数字电路设计3. 模拟电路设计模拟电路设计2-1 数字数字-模拟电路设计模拟电路设计1.数数-模电路设计模电路

9、设计2-1 数字数字-模拟电路设计模拟电路设计schemetic计数器计数器Verilog-editor时钟发生器时钟发生器schemetic1.数数-模电路设计模电路设计2-1 数字数字-模拟电路设计模拟电路设计LibVerilogschemsymboCounter_1Clk_11 建立自己的设计库建立自己的设计库 名字名字 design_1011.数数-模电路设计模电路设计2-1 数字数字-模拟电路设计模拟电路设计1.数数-模电路设计模电路设计2-1 数字数字-模拟电路设计模拟电路设计1.建立一个设计库建立一个设计库1 在设计库中使用在设计库中使用Verilog-editor建立一个元件建

10、立一个元件 名字名字 counter_01 视图视图 functional2 编辑编辑 verilog文件,输入文件,输入counter_1代码代码3 符号化符号化counter_01, 生成生成symbol视图视图2.数字电路设计数字电路设计2-1 数字数字-模拟电路设计模拟电路设计1.数数-模电路设计模电路设计2-1 数字数字-模拟电路设计模拟电路设计1.建立一个建立一个verilog元件元件2.数字电路设计数字电路设计2-1 数字数字-模拟电路设计模拟电路设计2.输入输入verilog代码代码2.数字电路设计数字电路设计2-1 数字数字-模拟电路设计模拟电路设计3.生成生成symbol视

11、图视图verilogsymbo2.数字电路设计数字电路设计2-1 数字数字-模拟电路设计模拟电路设计3.生成生成symbol视图视图2.数字电路设计数字电路设计2-1 数字数字-模拟电路设计模拟电路设计3.生成生成symbol视图视图2.数字电路设计数字电路设计2-1 数字数字-模拟电路设计模拟电路设计3.生成生成symbol视图视图3.模拟电路设计模拟电路设计1 在设计库中使用在设计库中使用Composer-Schemetic建立一个元件建立一个元件 名字名字 clk_01 视图视图 schematic2 编辑编辑 schematic文件,输入文件,输入clk_01的电路图的电路图3.模拟电

12、路设计模拟电路设计1.建立一个建立一个schemetic元件元件3.模拟电路设计模拟电路设计2.绘制绘制schemetic原理图原理图3.模拟电路设计模拟电路设计2.绘制绘制schemetic原理图原理图元件规格:元件规格:Pmos W 2.5u L 500n Nmos W 2.5u L 500nRes 200kCap 10pVdc 5混合信号模拟的实现混合信号模拟的实现数字数字-模拟电路设计模拟电路设计制作顶层文件制作顶层文件数字设计模拟设计制作顶层文件建立层次化文件配置仿真环境仿 真 验 证1 实际操作中,我们把实际操作中,我们把clk_01 schematic作为顶层文件,直作为顶层文件

13、,直接导入接导入counter_01.混合信号模拟的实现混合信号模拟的实现数字数字-模拟电路设计模拟电路设计制作顶层文件制作顶层文件数字设计模拟设计制作顶层文件建立层次化文件配置仿真环境仿 真 验 证建立层次文件建立层次文件1 建立建立config文件文件 元件名元件名 clk_01 视图视图 config 工具工具 Hierarchy Editor 2 把把config文件链接到顶层文件文件链接到顶层文件 3 把模板选为把模板选为spetreVerilog 4 把相应的单元分别设置为把相应的单元分别设置为verilog仿真和仿真和spetre仿真仿真1.建立建立config文件文件2-1 数

14、字数字-模拟电路设计模拟电路设计LibVerilogschemsymboCounter_1Clk_1config1.建立建立config文件文件1.建立建立config文件文件2.链接顶层文件链接顶层文件1.建立建立config文件文件3.设置设置spetreverilog模板模板1.建立建立config文件文件3.设置设置spetreverilog模板模板混合信号模拟的实现混合信号模拟的实现数字数字-模拟电路设计模拟电路设计制作顶层文件制作顶层文件数字设计模拟设计制作顶层文件配置仿真环境建立层次化文件仿 真 验 证建立层次文件建立层次文件设置仿真环境设置仿真环境 1 进入进入Mixed si

15、gnal模式模式 2 设置模式层次显示设置模式层次显示 3 设置设置Analog 仿真器仿真器设置仿真器工作模式设置仿真器工作模式 spectreVerilog设置引用工艺库设置引用工艺库ms018_vlp7_spe.lib设置模拟仿真器激励设置模拟仿真器激励设置数字仿真器激励设置数字仿真器激励testfixture设置仿真的时长与步长设置仿真的时长与步长1000u/ 1u/1u设置绘图节点设置绘图节点1.进入进入Mixed signal模式模式2.设置模式层次显示设置模式层次显示2.设置设置Analog环境环境1.设置仿真器工作模式设置仿真器工作模式2. 配置仿真环境配置仿真环境2.设置引用工艺库设置引用工艺库2. 配置仿真环境配置仿真环境3.设置模拟仿真器激励设置模拟仿真器激励2. 配置仿真环境配置仿真环境4.设置数字仿真器激励设置数字仿真器激励2. 配置仿真环境配置仿真环境5.设置仿真的时长与步长设置仿真的时长与步长2. 配置仿真环境配置仿真环境6.设置绘图节点设置绘图节点2134混合信号模拟的特点混合信号模拟的特点混合信号模拟简介混合信号模拟简介混合信号模拟的实现混合信号模拟的实现2134操作示例操作示例3-1 混合信号的模拟的特点混合信号的模拟的特点3-1 混合信号的模拟的特点混合信号的模拟的特点1 仿真的文件仿真的文件2 仿真器的工作模式仿

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论