版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、电子琴实习报告篇一:电子琴设计实习报告 单片机原理与应用技术课程设计报告 基于单片机的电子琴控制系统 专业班级: * 姓 名: *时 间: 指导教师: *XX年1月10 日基于单片机的电子琴控制系统课程设计任务书1. 设计目的与要求 设计出一个基于单片机的电子琴控制系统。准确地理解 有关要求,独立完成系统设计,要求所设计的电路具有以下 功能:( 1 )实现基本的音符 1-7 的弹奏和数码显示。 (2) 自动弹奏播放一首简单的歌曲。( 3)随机弹奏曲子时,可以实时记忆,并可复读(重 复播放)。(扩展功能,可选择设计)2. 设计内容( 1 )画出电路原理图,正确使用逻辑关系;(2)确定元器件及元件
2、参数; ( 3)进行电路模拟仿真; ( 4)SCH 文件生成与打印输出。 3. 编写设计报告写出设计的全过程, 附上有关资料和图纸, 有心得体会 4. 答辩在规定时间内,完成叙述并回答问题。 基于单片机的电子琴控制系统 班级: * 姓名: * 摘要:电子琴是现代电子科技与音乐结合的产物,是一 种新型的键盘乐器,采用半导体集成电路, 对乐音信号进行放大 , 通过扬声器产生音响。用户可以自己弹奏乐曲,也可 以自动伴奏。单片机具有强大的控制功能和灵活的编程实现 特性,便于设计各种以单片机为核心的控制系统。本课程设 计是用AT89S51单片机为核心控制元件,用其他外围电路配 合单片机组成一个简单的电子
3、琴控制系统,能实现音乐弹奏, 自动播放音乐等功能。本系统功能由单片机控制实现,具有 运行稳定, 电路简单, 软件功能完善, 控制系统可靠等特点。关键词:电子琴,单片机,外围电路,系统分析,汇编 程序,仿真,PCB调试1 引言 本次设计的电子琴控制系统由单片机最小系统加上按 键电路,数码显示电路,声音播放电路组成,通过单片机内 烧写的汇编程序来输出控制信号控制外围电路的工作。电子 琴设计不同于其他设计,需要输出 1 到 7 七个不同音阶的声 音,因此信号的输出有其特殊性,可以利用单片机定时计数 器产生不同频率的方波信号来形成七个不同的音阶,不同音 阶的组合就能输出我们想要的音乐了。各个音阶的信息
4、加以 控制系统就能实现电子琴系统的设计。2 总体设计方案设计思路 硬件部分由于需要实现的系统功能有按键输入 7 个音阶,按键播 放预置的音乐,复位,数码管显示按键输入的音阶,播放声 音。因此确定几个电路模块:复位电路,按键电路,数码管 显示电路,声音输出电路。为了使单片机能正常工作还需要 晶振输入电路。输入信号从单片机指定引脚输入,输出信号 从指定引脚输出。为了后期 PCB图制作的方便,有必要在设 计电路时考虑优化。 软件部分软件设计思路和硬件相似,硬件分为几个不同的功能模 块,软件也就对应分为几个模块。软件可分为:主程序,音 阶设置程序, 按键设置程序, 数码显示程序, 声音输出程序, 定时
5、计数器控制中断程序, 延时程序, 预置音乐数据表格等。 各模块之间互相调用形成完整的电子琴控制系统。由于电子 琴要输出不同频率的音阶,因此需要知道有关各个音阶对应 频率的相关资料。编写程序时应特别注意程序信息与电路信 息的对应。 设计方框图硬件设计图见附录图 1。 软件设计图见附录图 23 设计原理分析振荡电路的设计振荡电路为单片机工作提供基准定时信号,其他信号在 此信号基础上产生。 振荡信号由晶体振荡器产生, 51 单片机 内部有一个高增益反向放大器,其输入端(XTAL1,XTAL2)对外,只要外接晶振和电容组成的并联谐振回路,就构成一 个自激振荡器,振荡器的频率取决于晶体的振荡频率,一般
6、为12MHz电容C1和C2的值有微调作用,一般取30pf左右。振荡电路图见附录图 3。 复位电路的设计51单片机提供一个复位引脚RST只要在其上施加持续一定时间的高电平。提供复位高电平的电路需要从外部接入 RST引脚。实现复位功能的电路有多种形式。图4为此次采用的复位电路。上电时, +5V 电源立即对单片机供电,同时 给C3, C4充电,按下按键时RST上出现高电平,实现复位。 电阻起限流作用。复位电路图见附录图 4。 按键电路的设计按键电路由 7 个音阶输入按键 1 至 7(分别对应 7 个音 阶: do, rai,mi,fa,so,la,xi),和音乐选择按键 8 组成,接于 p1 口,
7、7 个按键代表 7 个音符。当按下按键时,单片机 通过查询所按下的键,读取电子琴输入状态,跳转到对应的 程序人口,实现按键发音。当读取需要电子琴已储存的歌曲 时,按下按键 8 即可轮流播放以存储的歌曲。按键电路图见附录图 5。 数码管显示电路的设计 数码管显示电路由一个共阳极数码管和 +5V 电源输入组 成。数码管的信号输入端接单片机的 p0 口。数码管由 8 个 LED组成,其中7个用来组成数字,另外一个显示小数点。由于是共阳极,8个LED的正极组成公共端接高电平,当 p0 的8个端口的输出电位和 LED的公共端形成高低电位时,对 应的 LED 就导通从而被点亮,通过编程控制p0 口输出电信
8、号使相应的LED点亮显示出期望的数字。在此系统中按键的 同时,数码管会显示对应的数字,此功能需要编程来实现, 按下不同的键之后单片机会向p0 口发送不同的8位二进制数用来点亮数码管,此 8位二进制数可由共阳极数码管编码 表查得。数码管显示电路图见附录图6,共阳极数码管编码表见附录表 1。 声音输出电路的设计此电路由电阻, npn 三极管,扬声器组成,声音信号由 单片机的口输入电路。电信号流入三极管的基极,三极管的 集电极接 +5V 电源,电信号经三极管放大后由发射极流出并 流入扬声器的正极, 使扬声器发声。 电阻有调节电路的作用。 单片机的 p3 口既有普通的输入输出功能,还有第二功能, 此处
9、使用的是第二功能。当有按键按下时,口会输出相应的 不同频率的方波信号,三极管也同频率导通,从而使扬声器 发出声音。声音输出电路图见附录图 7。 电子琴控制系统汇编程 序的设计 汇编程序ORG 0000H / 主程序 SJMP M1 ORG 000BHmov TH0,R1 / 设置定时器初值 mov TL0,R0CPL / 输出方波 RETIM0:MOV R5,#00HM1:CLR AMOV C,/判断是否为按键1 MOV ,C JZDOMOV C,/判断是否为按键2 MOV ,C JZRAIMOV C,/判断是否为按键3 MOV ,C JZMIMOV C,/判断是否为按键4 MOV ,C JZ
10、FAMOV C,/判断是否为按键5 MOV ,C JZSOMOV C,/判断是否为按键6 MOV ,C JZLAMOV C,/判断是否为按键7 MOV ,C JZXIMOV C,/判断是否为按键8 MOV ,C JZ M5 LJMP M1M5:LJMP M2DO:MOVR1,#0FCH / 设定各音阶初值 MOVR0,#43H MOV R2,#08Hmov p0,#0f9h / 数码管显示 1 LJMP NEXT 篇二:电子琴实习报告河南理工大学单片机应用与仿真训练设计报告题目基于单片机的电子琴设计姓 名:学 号:姓 名:学 号:专业班级: 指导老师: 所在学院: 电气工程与自动化学院XX年7
11、月5日单片微型计算机是大规模集成电路技术发展的产物,属 第四代电子计算机,它具有高性能、高速度、体积小、价格 低廉、稳定可靠、应用广泛的特点。它的应用必定导致传统 的控制技术从根本上发生变革。因此,单片机的开发应用已 成为高科技和工程领域的一项重大课题。电子琴是现代电子科技与音乐结合的产物,是一种新型 的键盘乐器。它在现代音乐扮演着重要的角色,单片机具有 强大的控制功能和灵活的编程实现特性,它已经溶入现代人 们的生活中,成为不可替代的一部分。本文的主要内容是用 单片机为核心控制元件,设计一个电子琴。以单片机作为主 控核心,与键盘、扬声器、数码管显示等模块组成核心主控 制模块,在主控模块上设有
12、10 个按键、扬声器和数码管显 示。本文主要对使用单片机设计简易电子琴进行了分析,并 介绍了基于单片机电子琴统硬件组成。利用单片机产生不同 频率来获得我们要求的音阶,最终可随意弹奏想要表达的音 乐。并且本文分别从原理图,主要芯片,各模块原理及各模 块的程序的调试来详细阐述。本系统是简易电子琴的设计,按下键盘中的按键会使数码管显示当前按键,扬声器播放器 对应的音符。通过设计本系统可了解单片机的基本功能。对 单片机的了解有一个小的飞跃。单片微型计算机是大规模集 成电路技术发展的产物,属第四代电子计算机,它具有高性 能、高速度、体积小、价格低廉、稳定可靠、应用广泛的特 点。它的应用必定导致传统的控制
13、技术从根本上发生变革。因此,单片机的开发应用已成为高科技和工程领域的一项重 大课题。1概述 系统总体方案及硬件义 5的目的意设计 5求 设计内容与要 56 方案论证 6控制模块选择方案 6按键选择方案 6显示选择方案 6发音电路选择方案 6系统硬件设计 系统组成及总体框图 7 单片机最小系统模 块 7单 片 机 AT89S52 功 能 特 性 8TDA2822 功 能 特 性 14LED 数 码 管 显示 17 显示电 路 18 各功能模块原理 图 18AT89S52 模 块 电 路 原 理图 18键盘扫描模块电路原理图 19音频处理模块电路原理图 20数码管显示电路原理 213 系统软件设计
14、 23方案总体设计 23音乐产生设计原理 程 . 25程序简易流程图: 26弹奏程序流程图: 27自动播放歌曲程序流程图 : 284电路仿真 /、 29ISIS软件介设计流绍 绍 仿真/、图介 29仿真/、图 30印制板制作 30印求 制板制作的要 30印制电路板图 . 31系统调试 试 试 33软件调. 33调试结论 软件仿调. 33会 5课程设计体 34收获与体会 . 34遇到的问题与解决方法 议 351 概 述 设计的目的意义 在科技飞速发展的今天,电子产品已经成为人们生活的 必须品,电子产品已经替代了很多以往传统的机械产品,它 具有结构简单,成本低廉等优点。音乐的美妙旋律是人们追 求精
15、神享受的重要组成部分。所以电子琴也将是未来流行的 重要电子产品之一。本设计的目的在于设计一款功能完善,音色和谐的电子 琴,给人们带来精神上的享受。同时使自己熟练掌握单片机 系统的开发应用,掌握 protel , prteus 和 keil C51 软件的 应用,巩固和加深已学过的知识,提高动手能力及解决实际 问题的能力,同时培养团队合作精神。设计内容与要求( 1)本设计以 AT89S52 单片机为核心控制元件设计一 个电子琴,与键盘、扬声器等模块组成核心主控制模块,在 主控模块上设有 7 个弹奏按键、 3 个控制键和蜂鸣器,并且 按下时按键发声, 松开停止, 控制键可以实现高中低音切换, 并且
16、能够实现自动播放功能。( 2)利用软件 keil C51 进行程序的调试。( 3)利用 proteus 软件画电路图,并在单片机加载程 序进行仿真。( 4)利用 protel 软件画原理图和生成电路板。(5)按照PCB板图焊接电路板。( 6 )将程序下载到单片机中,调试直到实现功能。 篇三:电子琴实训报告 陕西国防工业职业技术学院基于AT89C51单片机的多音阶电子琴设计题目基于AT89C51单片机的多音阶电子琴设计专 业 电子信息工程技术班 级信息 3101 组 员 徐航 刘国翠 张秀珍 袁红梅伍超 金鑫目录项 目 描 述3 项目 要 求3 系统 设 计图设计- 3硬件设计 5电路原理图 5
17、软件设计 6程序流程图 6电子琴程序AT98C51板 9面包用的使 10无焊面包板 1 0系统仿真/、及调试 1 1功能的实现 1 2小结 12基于AT89C51单片机的多音阶电子琴设计项目描述电子琴是现代科技与音乐结合的产物,是一种新型的键 盘乐器。电子琴在现代音乐中扮演着重要的角色。单片机具 有强大的控制功能和灵活的编程实现特性,它已经融入现代 人们的生活中,成为不可替代的一部分。本项目中的主要内 容是以 AT89C51 单片机为核心的控制元件,设计一个多音阶 电子琴。它具有硬件电路简单,软件功能完善,控制系统可 靠,性价比高等优点,具有一定的实用价值。项目要求基于AT89C51单片机的多
18、音阶电子琴设计要求如下: (1) 由 4*4 组成 16 个按键矩阵,设计成 16 个音节。 ( 2) 可 随意弹奏想要表达的音乐。系统设计框图设计基于AT89C51单片机的多音阶电子琴系统框图硬件设计电路原理图篇四:电子琴制作实训报告河南机电高等专科学校综合实训报告系 部: 电子通信工程系 专 业: 应用电子技术 班 级:应电 143 班 学生姓名: 潘胜飞 学 号: 140415127XX年11月实训任务书1. 时间:XX年11月9日XX年11月20日2. 实训单位:河南机电高等专科学校3. 实训目的:熟悉电子产品研发及制作的全过程4.实训任务:分析任务要求,确定实现任务的具体方案;根据方
19、案确定电路原理图;使用电路板生成软件绘制电路板图,熟练掌握电路板 图的绘制技巧; 使用热转印机将电路图转印到覆铜板上, 并腐蚀、打孔,制作电路板;认识电子元器件,熟悉常用元器件的特性;熟练掌握焊接方法和技巧,完成电路板的焊接;为产品编写程序,并熟练掌握电子产品通电调试的注 意事项; 作好实训笔记,对自己所发现的疑难问题及时 请教和解决;联系自己专业知识,体会电子产品制作过程,总结自 己的心得体会;10参考相关的书籍、资料,认真完成实训报告。O电子琴制作实训报告一、实验时间:时间为两周。 二、实训地点:实字 3 号楼 110(西)房间【电子技能培训基地】 , 111 (西)房间【硬件开发实验室】
20、 , 111(东)房间【电路板制 作实训室】 三、实训目的:用 C51 语言编程输入单片机, 根据程序设计制作电子琴。 四、实训器材:电烙铁、斜嘴钳、吸锡棒、松香、焊锡、PCB电路板、电子琴零件一套、镊子、转印机、钻孔机、腐蚀液、万用表 等。 五、实训原理:趣味电子琴电子琴PCB电路图六、实训步骤。根据原理图设计绘制 PCB电路板图,将绘制好的 PCB电 路图进行热转印,通过转印机转印到PCB电路板上,检查转印是否成功,如果出现断线,用油彩笔进行描画,将转印好 的电路板放置入准备好的腐蚀液中进行腐蚀,半个小时之后 将腐蚀好的电路板拿出后,用布擦干,用纱布打磨好后,进 行打孔,然后进行焊接,注意
21、焊接是否虚焊,将元件焊接好 后,连接电源,插入单片机,检查是否故障,如无故障,正 常播放音乐, 则成功。焊接完成如下图所示:七、心得体会 : 通过本次实验,我知道了电子琴的焊接制作方法,通过 单片机编写程序,知道自己的不足,以及自己的焊接工艺不 够成熟,总是出现虚虚焊等情况,以及电路连接问题。也知 道了电子产品的趣味,今后我会更加努力。篇五:简易电子琴 实训报告基于FPGA的数字系统设计项目设计文档项目名称:基于VHDL的简易电子琴姓 名:院 系: 专 业:学 号: 指导教师:完成时间 : XX 年 6 月 20 日基于FPGA的数字系统设计项目成绩评价表 指导教师:年月 日目录1 项目名称、
22、内容与要求 4 页 设计内容 4 页 具体要求 4 页2 系统整体架构( Architecture Description ) 4 页 设计思路 4 页 系统原理(包含:框图等阐述)与设计说明等内容4页创新点与原创性内容 5 页3系统设计(含HDL或原理图输入设计)5页 注:此部分包含主要逻辑单元、模块、源代码等内容 HDL 代码 5 页系统整体电路图(或 RTL级电路图)7页4 系统仿真( Simulation Waveform ) 7 页5 FPGA实现(FPGA Implementation ) 9 页6 总结( Closing ) 10 页参考书目( Reference ): 11 页
23、附录( Appendix ): 12 页1、 项目名称、内容与要求设计内容:设计一个简易的八音符电子琴,它可通过按键输入来控 制音响。具体要求:1、设计一个简易电子琴;2、利用实验箱的脉冲源产生 1,2,3,。共 7 个或 14 个音阶信号;3、用指示灯显示节拍;4、*能产生颤音效果。2、系统整体架构设计思路本课程设计目的在于灵活运用EDA技术编程实现一个简易电子琴,它要求在实验箱上构造一个电子琴电路,不同的音阶对应不同 频率的正弦波。按下每个代表不同音阶的按键时,能够发出对应频率的声音系统原理采用现场可编程逻辑器件(FPGA制作,利用EDA软件中的VHDL硬件描述语言编程进行控制,然后烧制实
24、现。采用FPGA来设计的原理图如图所示。它由控制输入电路、FPGA显示电路和扬声器电路组成。图 采用FPGA设计的电子琴原理方框图 控制输入电路主要是为用户设计的 , 起到一个输入控制 的作用。FPGA是现场可编程逻辑器件,也是本设计方案的核心内容,它是实 现电子琴运作的主要控制模块。由设计者把编好 VHDL 程序烧制到现场可编程逻 辑器件FPGA中,然后通过控制输入电路把乐谱输入到FPGA产生不同的频率驱动扬声器 , 发出不同的乐谱。同时也把发出的乐谱符号通过 显示器输出。创新点与原创性内容对于电子琴的设计 , 很多方案均可以实现 , 但是采用数 字逻辑电路来制作的话电路硬件所需的器材多 ,
25、 体积庞大 , 比较复杂 , 而且精度和稳定度都不是很高。如果采用的是现 场可编程逻辑器件来实现,它的优点是所有电路集成在一块芯片上,此方案所需的外围电路简单 , 这样它的体积就减 少了,同时还提高了系统的稳定度。 还可以用 Modelsim XE 软 件进行仿真和调试等。设计人员可以充分利用VHDL 硬件描 述语言方便的编程,提高开发效率,缩短研发周期,降低研 发成本;而且易于进行功能的扩展,实现方法灵活,调试方 便,修改容易。 。因此 ,电子琴的设计我们选择采用现场可编程逻辑器件(FPGA制作来实现。3 系统设计 HDL 代码 library ieee; use _logic_; use
26、_logic_; entity speakera is port( clk : in std_logic; - 时钟输入 - 按键输入 index:in std_logic_vector( 6 downto0);spks: out std_logic);end;architecture one of speakera is signal preclk,fullspks :std_logic; signal tone: std_logic_vector( 10 downto 0); begin- 扬声器输出 篇六:生产实习报告 - 单片机电子琴 1 基础部分 设计任务 按照给定电路原理图,进行单
27、片机最小系统的焊接:包 括单片机、 开关、插排、 复位电路和晶振电路, 正确焊接后, 电路可以进行程序的下载。 设计并焊接简单外围电路, 包括: LED 与独立按键、蜂鸣器、双位数码管。使用汇编或 C 语言编写程序,使本电路完成以下功能:LED 跑马灯、双位数码管对应计数 ,方式一(始终一 个方向) 、方式(左右循环) ,可准确确定周期 T 。每次第 一个 LED 亮起的时候蜂鸣器响一声( t 秒)提示 。按键控制:一共三个按键分别实现以下功能 暂停:检测该按键有效按下后, LED 和数码管暂停,再 次检测按键按下后,继续执行当前循环;复位:检测该按键有效按下后, LED 和数码管归零重新 开
28、始以当前模式循环 ;模式转换:正常运行状态,检测该按键有效按下后,数 码管显示不变, LED 显示从当前方式转换成另一方式继续执 行。设计思路 先设计好外围电路模块的原理图。根据自己的板子的实 际情况安排布线并依据原理图进行焊接。再设计程序流程图, 分别编写简单程序调试各个外围电路是否可以正常工作。学 习使用单片机程序开发环境,编译下载程序等。比如: 单独的跑马灯; 双位数码管按秒计数; 蜂鸣器 1s 一响;按键控制 LED点亮(8个按键对应8个LED)。设计程序运行的状态图以及程序流程图,编写程序代码并调试原理图图器件清单表 1-1 器件清单( 1 )程序流程图图 主程序流程图图 数码管子程
29、序程序代码#include#define uint unsigned int #define uchar unsigned char篇七:“电子琴”生产工艺 实习报告“电子产品综合设计”课程“简易电子琴”生产工艺设计报告书设计成员一: XXX设计成员二: XXX设计成员三: XXX设计时间:广东机电职业技术学院目录1 绪论 2课题背景 2 电子琴技术特点 2 电子琴的性能指标 4生产技术文件 5 设计电路图 5 技术说明 6 技术说明 7 元器件明细表 8PCB板工艺流程图9工艺流程图 10印制板装配图 11 印制板工艺说明 12 制造工艺 13焊接工艺说明 14 工具明细表 15 作业指导书
30、 16 安全职责规程 17 设计总结 18 附 181、绪论 课题背景 电子琴是现代电子科技与音乐结合的产物,是一种新型 的键盘乐器。它在现代音乐扮演重要的角色,单片机具有强 大的控制功能和灵活的编程实现特性,它已经溶入现代人们 的生活中,成为不可替代的一部分。本文的主要内容是用 AT89S52 单片机为核心控制元件,设计一个电子琴。以单片 机作为主控核心,与键盘扬声器等模块组成核心主控制模块, 在主控模块上设有 8 个按键,和一个复位按键。主要对使用单片机设计简易电子琴进行了分析,并介绍 了基于单片机电子琴硬件的组成。利用单片机产生不同频率 来获得我们要求的音阶,最终可随意弹奏要表达的音符。
31、并 且分别从原理图,主要芯片,个模块原理及各莫奎的程序的 调试来详细阐述。一首音乐是许多不同的音阶组成的,而每个音阶对应着 不同的频率,这样我们就可以利用不同的频率的组合,构成 我们想演奏的那首曲目。当然对于单片机来产生不同的频率 非常方便,我们可以利用单片机的定时 /计数器 T0 来产生这 样的方波频率信号,因此,我们只要把一首歌曲的音阶对应 频率关系编写正确就可以达到我们想要的曲目。电子琴技术特点播放模块 播放模块是由喇叭构成,它几乎不存在噪声,音响效果 较好,而且由于所需驱动功率较小,且价格低廉,所以,被 广泛应用。按键控制模块电子琴设有 8 个按键,其中 7 个作为音符输入,另外一 个
32、作为模式转换按键,实现用户存放的自动播放歌曲。 7 个 按键分别代表 7 个音符,包括中音段的全部音符,通过软硬 件设计,模式转换按键触发外部中断,中断使程序跳转,实 现模式转换,启动电子琴。然后通过查询电子琴所按下的按 键,读取电子琴输入状态,跳转到对应的程序入口,实现自 编歌曲的。3 总体硬件组成框图 该设计方案是通过按键随意按下所要表达的音符,作为 电平送给主体电路,中央处理器通过识别,解码输出音符, 在扬声器中发出有效的声音。通过这样可以不断的弹奏我们 想要的音符或者是音调,电路由复位电路,指示灯电路,和 功能按键电路组成,通过功能键可以选择播放音乐或者弹奏 音节,硬件主要有下面几个部
33、分组成。图 2-1 电子琴硬件设计框图用 P2 口的高四位和 P2 口的第四位作为按键的接口,用P1 口做信号输出口。系统的硬件设计 为了使电子琴的控制系统更加的方便、灵活以及稳定性, 我们对系统硬件进行了简约和优化,使硬件更加的实用,更加的人性化,硬件电路包括中心控制模块、播放模块、按键 控制模块、复位按键模块四大类。1 中心控制模块的硬件设计这次设计的中心控制模块是采用 AT89S52单片机来控制 整个系统。其中 P2 口作为输入口, P1 口为信号输出口,连 接音响驱动电路。2 声音播放模块的硬件设计 如下图所示,为声音的播放模块,它接到口上,当有按键按下时,它通过 AT89S52中心控
34、制芯片的识别后发出相应 的音符3 按键控制模块和复位电路模块的硬件设计在 P2 口连接有 8 个按键开关加 8 个拉电阻,它们一端 接5伏电源,一端接地。只要有一个按键被按下,并被单片 机扫描到,则会播放发出音符。电子琴的性能指标扬声器 扬声器是一种把电平转变为声信号的换能器件,扬声器 和性能对音质的高低音响很大。扬声器的种类很多,按其换 能原理可分为电动式、静电式、电磁式、压电式等几种,后 两种多用于农村有线广播中,按频率范围可分为低音扬声器、 中音扬声器,这些常在音箱中作为组合扬声器使用。在本次 试验作品中使用电磁式扬声器图 4-2 为所使用的扬声器实物图软件是该电子琴控制系统的重要组成部
35、分,在系统的软 件设计中我们也用了模块设计,将系统的各部分功能编写成 子模块的形式,这样增强了系统软件的可读性和可移植性。篇八:单片机 电子琴 实训报告电子琴设计报告1. 需求分析: 该系统要求具有以下功能:通过编程、解码,使计算机 在不同的按键下通过蜂鸣器输出特定的音符,使其达到电子 琴的效果,从而用电脑来演奏乐曲。2. 软件总体设计:本系统软件设计总体流程如图 1 所示。在硬件的配合下, 通过 C 语言编程完成音频数据的采集。其中利用 Beep ( 频 率 hz , 时间 ms) 发出该频率的声音。3. 详细代码设计:#include ""#include "
36、"#includeint main()char ch;printf(" 请输入一个一个音符 : ");for(;)ch=getch(); switch (ch) case 'q': printf("q");Beep(262,100); break;case 'w': printf("w");Beep(294,100); break;case 'e': printf("e");Beep(330,100); break;case 'r': pri
37、ntf("r");Beep(349,100); break;case 't': printf("t");Beep(392,100); break; case 'y': printf("y");Beep(440,100); break;case 'u':printf("u");Beep(494,100); break;default:printf("errorn"); break;4. 程序运行结果:运行程序,按 F5运行程序,然后按 QWERTY分
38、别有七 个音发出,通过不同的按键顺序,可以演奏简单的乐曲。5. 运行中出现的问题、解决方法、体会: 在一开始的编程中容易在循环方面出问题,而只要有For 假设就可以简单的解决这个问题 经过制作电子琴,我有了一种成就感,也有一种欣喜, 让我对我的学科产生了兴趣,我以后会努力学习的。篇九:单片机实训报告 ( 电子琴的设计和实现 ) 单片机原理及应用综合课程设计 电子琴的设计与实现学生姓名:指导教师: 所 在 系:所学专业: 年 级:201 5 年 12 月目录序 论 明 求 21、课程设 3设计总计体说要 3案 设计方3块 播 3放模按键控制模块 3录音模块 3设计分析 3概述 . 3硬件框图 4
39、的 4要 2、系统 4器 扬 4机 AT89C51单 5介 . 5管脚明: ISD1820录放音芯片 6主要特性 6封装图 6引脚介绍: 63、系统实现 7、电子琴实现功能流程图 . 7、软件各模块内容 7键盘扫描程序: 7功能转移程序: 8琴键处理程序: 9录音程序: . 94、仿真/、调试 125、硬件调试 13总实物图 13电子琴的设计与实现 136、心得体会 14参考文献 15序论 课程设计是课程教学中的一项重要内容,是达到教学目 标的重要环节,是综合性较强的实践教学环节,它对帮助学 生全面牢固地掌握课堂教学内容、培养学生的实践和实际动 手能力、提高学生全面素质具有很重要的意义。单片微
40、型计 算机是大规模集成电路技术发展的产物,属第四代电子计算 机,它具有高性能、 高速度、 体积小、 价格低廉、 稳定可靠、 应用广泛的特点。它的应用必定导致传统的控制技术从根本 上发生变革。因此,单片机的开发应用已成为高科技和工程领域的一项重大课题电子琴是现代电子科技与音乐结合的产物,是一种新型 的键盘乐器。它在现代音乐扮演着重要的角色,单片机具有 强大的控制功能和灵活的编程实现特性,它已经溶入现代人 们的生活中,成为不可替代的一部分。本文的主要内容是用 AT89c51 单片机为核心控制元件,设计一个电子琴。以单片 机作为主控核心,与键盘、扬声器等模块组成核心主控制模 块,在主控模块上设有 16 个按键和扬声器。本文主要对使 用单片机设计简易电子琴进行了分析,
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 2024年寒假计划寒假计划书
- 培优扶困计划培优辅差计划
- 四年级数学培优辅差工作计划
- 2024社区宣传工作计划
- 有关普及普通话年度计划
- 2024年教师成长工作计划范文
- 施工培训课件,项目成本管理实施计划
- 2024年幼儿园大班班务计划书
- 财务重点工作计划
- 学校2024年双拥工作计划
- 杭州国际博览中心工程设计论文
- 土壤中重金属元素和重金属含量的粉末标准曲线xrf检测方法研究
- 脊柱四肢及肛门直肠检查
- 地理科学导论(上海师范大学-白润光)课件
- 我有一个想法三年级作文300字
- 骨科运用PDCA降低平均住院日品管圈成果汇报
- 交通运输布局对区域发展的影响-扬州的兴衰高一地理人教版(2019)必修第二册
- 公共英语(二)学习通课后章节答案期末考试题库2023年
- 医学微生物学知到章节答案智慧树2023年山东第一医科大学
- 印刷通用质量检验标准
- HL002A031从创业者的角度分析自己
评论
0/150
提交评论