电子设计自动化实验指导书10_第1页
电子设计自动化实验指导书10_第2页
电子设计自动化实验指导书10_第3页
电子设计自动化实验指导书10_第4页
电子设计自动化实验指导书10_第5页
已阅读5页,还剩38页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、实验一 EDA环境基本操作 2实验二Multisim 环境下的信号放大仿真实验 6实验三PROTEUS境下单片机控制LED仿真实验 11实验四QuartusII 环境下数控分频器的设计 13实验五Protel DXP 环境下电路设计实验 28实验六System view 系统仿真实验 3328实验一 EDA环境基本操作一、实验目的1、认识并熟悉电子设计自动化的软件环境。2、了解常用EDA软件的运行方法及界面菜单窗口等内容。二.实验原理电子设计已经倾向于使用基于电子计算机的自动化设计工具三.实验内容认识和实际操作各种电子设计自动化软件。四.实验步骤1、进入 windows操作系统,找到Elect

2、ronics Workbench程序组并打开 Mu1tisim7 。(1)依次点击"开始"一"程序"一 "Electronics Workbench"一"Mu1tisim7或直接点击桌面上“Mu1tisim7 ”图标,可打开 Mu1tisim7 。(2)打开Mu1tisim7后可以看到如下界面,熟悉图中标示的各要素。(3)尝试将课本第10页图2.3原理图输入。2、进入 windows操作系统,找到Labcenter Electronics程序组并打开 Proteus7.2。(1)依次点击"开始">

3、"程序"> "Labcenter Electronics " > "Proteus7.2”;或直接点击桌面上“Proteus7”图标,可打开 Proteus7.2。(2)打开Proteus后可以看到如下界面,熟悉图中标示的各要素。3、进入windows操作系统,找到 Altera程序组并打开 QuartusII7.0。(1)依次点击“开始”一 > “程序” 一> "Altera ” -> “QuartusII7.0 " ;或直接点击桌面上“QuartusII7.0 ”图标,可打开 Quartus

4、II7.0。(2)打开QuartusII7.0后可以看到如下界面,熟悉软件的各部分。4、进入windows操作系统,找到 Altium程序组并打开 DXP2004。(1 )依次点击“开始”一 > “程序” 一> "Altium)-> “DXP2004”;或直接点击桌面上“ DXP2004”图标,可打开 DXP2004。(2)打开DXP2004后可以看到如下界面,熟悉软件的各部分。卜为 F1ZI'rnu 口 n¥»>皿舌 I . nMnlrfr -十 n 点 KA圆 |,开的器Aj g川痂=»!;!J ChHH E«

5、;mviL.DXP Home PagePick a Task19网弗必加河WHfaw 0中山HF胱B*J ml fib理 Hf Prctad i2|Ji9i4Ni.kotKL(Greq or. FtDfK I lib- B-|> F9* IFrwii ms-birgFs# f 13lllpbndFI3 Dcili mdIDcwntiiRri:5、进入windows操作系统,找到 ELANIX 程序组并打开 SYSTEM VIEW 。(1)依次点击“开始”一 > “程序” 一> " ELANIX ” 一 > "SYSTEM VIEW 或直接点击桌面上“

6、 SYSTEM VIEW ”图标,可打开 SYSTEM VIEW 。(2)打开SYSTEM VIEW 后可以看到如下界面,熟悉图中标示的各要素。信息区标题栏鳖 a k¥ EL*WK工具栏动态探针五、实验报告要求1 写出详细实验步骤;2 谈谈对各电子设计自动化软件的认识,各软件的作用。实验二 Multisim环境下的信号放大仿真实验一.实验目的1、复习巩固三极管小信号放大电路。2、掌握Multisim 环境中模拟电路设计的方法。3、掌握Multisim 环境中模拟电路仿真方法。二.实验原理利用 Windows操作系统环境下的 Multisim7软件平台进行电路仿真。三、实验内容设计一个

7、三极管小信号放大电路并仿真。图】三极管小信号放大器电路图四、实验步骤1静态工作点的测试与调整仿真电路如图2所示,依次调节 Rw的百分比,记录各电压、电流表的值, 对应填入表1中,并计算Ic/Ib值。可以得出结论:(1)调节Rw可改变Ub电位,因而改变了三极管 Ib,,Ube的大小。不 同的工作状态,电流放大倍数3= Ic/Ib不相等。«1 K.取不同值时.各电压、电覆表及及值/0% U410%wi2095% 100%;*/mA273+ 55S 145.661 11.102 7-401Jc/mA 3、324E/m/V 0. 672IZ«/V 0.065h/h Z- 153.3

8、59 1.7540. 670 0. 6350.085 5.84823. 206 157- 995. 330 h26g Q. 95。 0.675 0, 617 7.559 B.«70171.33 176.240.278 2220.002 0,0020.461 0. 4411L99T 12. 0007 19 9 01图2仿真电路标3 nTnui(2)在三极管的放大区(Rw取10%, 15%, 20%时)Ic/Ib值较大;而在 截止区或上&和区Ic/Ib值较小,且在饱和区(Rw取0%, 1%) Uce值接近0, 在截止区(Rw取95%, 100%) Uce值接近直流电源的电压,甚至

9、等于直流电源 的电压。2测试电压放大倍数仿真电路如图3所示,设置信号源输入信号的幅度为Us= 100 mV,频率为1 000 Hz。用示波器测量输入、输出波形如图 4所示,此时输出端波形不失真。 按表2所列测试条件测试 Ui, U。的值,并计算K=Uo/Ui。仿真结果得出结论:当三极管放大电路的元件参数不改变时,电路的电压放大倍数基本不变。»2港试条件其.百分比凡Ui/mVUNUo/VKv10%不接人100oo$g1.1812010%接入1000. OSS0. 81713M10%揍人2506148L9591X2图4输入信号、,出信号放形3静态工作点对输出波形的影响删除图3的数字万用表

10、 XMM1 XMM2在三极管T的集电极串联数字万用表 XMM1测量I c;在三极管T的C极与地之间并联数字万用表 XMM2测量Uco设 置US=100 mV, f = 1000 Hz,调节RW分别为3%, 10%, 70%,仿真波形如图 5 所示,分别为饱和失真、不失真放大、截止失真。把Ic, UC读数填入表3。覆3 尺取不同值时,小读数仿真结果得出结论:改变基极偏置电阻Rw,静态工作点电流Ic,电压Uc随之变化,从而导致三极管工作区域变化。偏置电阻Rw、电流Ic、电压Uc各Aw Zc/mV Uc/V失其情况LOii FXKH XMMI图3仿*电路3. 132L?S50. 083% 5087.

11、 78911*805产生惚和失真见图不失JC放大(见图5QD产生裁止失真(见图5(C)2低。£XMM2IOpF2N2222值适中,三极管工作在放大区;若偏置电阻Rw小,电流Ic过大,电压Uc偏小,三极管工作在饱和区;反之,三极管工作在截止区。4测量输入电阻把图3的示波器和XMM2删除,保留XMM1 ,并在Ri与Ci之间串联一个 数字万用表,测量h, XMM1测量5。调节Rw为70%,打开仿真开关,测得 输入电压 Ui=63. 444 mV,输入电流 Ii = 0. 007 mA,计算 Ri=Ui/Ii=63. 444 /0. 007=9. 06 k Q结论:共射放大电路的输入电阻较大

12、。图5出庭不同值时入侑号,殖出信号波形5测量输出电阻把图3的示波器和XMM删除,同时也删除信号源,用导线短接,再删除 R 和Ji,用信号源代替 R.o信号源设置为 US= 1 V , f = 1 000 Hz ,在G的负端串 联一个数字万用表,测量I。,数字万用表XMM2i量Uqo调节FW为70%,打开仿真开关,测得输出电压 UO= 707 mV,输出电流1。= 0. 296 mA,计算RO= U。/ 1。= 707. 107/0. 296 = 2. 39 k Q o结论:共射放大电路的输出电阻也较大。6测试幅频特性把图3中的示波器、XMM仪XMM割除,将波特图仪中的In +接到电路的输 入端

13、,Out+接到电路的输出端,In-和Out-接地,打开仿真开关,用鼠标双击波 特图仪,得如图6所示的幅频特性。测出上限频率3=2. 291MHz下限频率 工= 57. 544 Hz。结论:共射放大电路的通频带较宽。五、实验报告要求1 .2.3.写出详细实验步骤; 画出电路原理图; 记录实验数据及结果。实验三PROTEUS环境下单片机控制LED仿真实验一.实验目的1、了解单片机工作原理;2、掌握单片机程序的编写;3、掌握PROTEUS环境下原理图的绘制及电路的仿真。二.实验原理利用Windows操作系统环境下的 PROTEUS软件平台进行电路设计和仿真。三、实验内容在PROTEUS软件平台上设计

14、单片机控制的 LED灯设计和仿真。四.实验步骤1 .打开PROTEUS仿真环境,在 PROTEUS仿真环境中调入单片机、电阻器、 LED ,按键或拨码开关等器件, 对元器件参数进行相应设置, 并进行连线等工作, 完成LED显示控制电路原理图的绘制,如图 3.1。UI ,lE.-REPXTA12LEWREPLED-SEPRF1LEE-A.EP,皿电id PDLVAJfrl m闻IS PDAKH FEkU冉 H PDSAK FEL&'AK Pn.7W.WEWE HAMFZa'All FHZWQ FN与直日F7WH FJ-TCABi-I D5 Ep .3 £T-Fi

15、ms Fii.irr»P3EVRXD pur PlJZiWn FS3nVTT num F3WTIP3.SI7IRM.THnjrmm TFFFFBTEKP图3.1 LED显示控制电路原理图2 在 PROTEUS 自带的程序编辑器或第三方程序编辑器KEIL 中编写控制程序,ASM 代码如下:org 0000hajmp mainorg 0050hmain:mov p1,p0acall delajmp maindel: mov r7,#03hdel2:djnz r7,del2retend3 将控制程序编译为可执行代码,并在PROTEUS 原理图对应单片机的设置中调入代码。4执行仿真,观察硬件

16、连接和软件程序是否满足设计要求,若不满足,修改设计并调试,调试时使用各种虚拟仪器进行辅助。五、实验报告要求1 写出详细实验步骤;2 画出电路原理图;3 叙述你在 PROTEUS 环境中进行单片机仿真的心得体会。实验四 QuartusII 环境下数控分频器的设计一实验目的1 、 学习数控分频器的设计、分析和测试方法。2 、 了解和掌握分频电路实现的方法。3 、 掌握 EDA 技术的层次化设计方法。二实验原理利用 Windows 操作系统下的 QuartusII 软件平台进行分频器设计和仿真。三、实验内容本实验要求完成的任务是在时钟信号的作用下,通过输入八位的拨动开关输入不同的数据, 改变分频比,

17、 使输出端口输出不同频率的时钟信号, 达到数控分频的效果。 在实验中时, 数字时钟选择1KHZ 作为输入的时钟信号 (频率过高观察不到 LED 的闪烁快慢) , 用八个拨动开关作为数据的输入, 当八个拨动开关置为一个二进制数时, 在输出端口输出对应频率的时钟信号, 用户可以用示波器接信号输出模块观察频率的变化。 也可以使输出端口接 LED 灯来观察频率的变化。在此实验中我们把输入接入 LED 灯模块。四实验步骤1、打开QUARTUSII软件,新建一个工程。2、建完工程之后,再新建一个VHDL File,打开VHD战辑器对话框。3、按照实验原理和自己的想法,在VHDL辑窗口编写 VHDL程序(可

18、参考示例程序),编写完VHDL程序后,保存起来。4、对自己编写的 VHD整序进行编译,对程序的错误进行修改。编译方法如下:QUARTUSII 编译器窗口包含了对设计文件处理的全过程。在 QUARTUSII软件中选择Processing>Compiler Tool 菜单项, 则出现 QUARTUSII 的编译器窗口,如图 4-1 所示,图中标明了全编译过程各个模块的功能。开始 Ana lysis iSynt hes i sAna lysis iSynt hes i 3殳舌 粽合报告|还示顶层文件开始心“世七t器件和引脚开始EDA网春寡写器EDA工具EI地网 |ED/G Co»r1

19、 】eir j Qf 1国同伙nthesi:Fills0 ):00:(00:00:00切0蠢鎏野I/角副IdeAssemberlI 居库编Timing AnalyzeiOttOQOO同酎隰©I阊孥隰II编诙进度指示(KtOCLOOStart开始全编译时序逼近Fitter报告Fitti5r 设常 Fitter开始时序分析总结时序分析报告时序设置开始时序筛全编译报告a Report图4-1 QUARTUSII编译器窗口需要说明的是在进行设计文件的综合和分析,也可以单独打开某个分析综合过程不必进行全编译界面。当完成上述窗口的设定后,点击START按钮进行设计文件的全编译。如果文件有错,在软

20、件的下方则会提示错误的原因和位置,以便于使用者进行修改直到设计文件无错。整个编译完成,软件会提示编译成功,如图4-2所示。:图4-2全编译成功界面5、对自己编写的 VHD整序进行仿真。1)创建一个仿真波形文件,选择 QUARTUSII软彳File>New ,进行新建文件对话框。如图4-3所示。选取对t框的 Other File标签页,从中选取 Vector WaveformFile,点击OK按钮,则打开了一个空的波形编辑器窗口,如图 4-4所示。NetDevice Dsgi Files SolMaeFila UthtrFl蟋AHDLIrebdtFte-Bbck$HnbciFileChah

21、 IDesaftm FitHadecmal IlnflFoml FiteLogic Andyzer IN»iFace FileMenwiy Hidfc前如 FieSigndTap II FilsTdScnptFieTftdF3a图4-4波形编辑器图4-3新建文件对话框2)设置仿真结束时间,波形编辑器默认的仿真结束时间为1科S,根据仿真需要,可以自由设置仿真的结束时间。选择 QUARTUSI软件的Edit>End Time命令,弹 出线路束时间对话框,在 Time框办输入仿真结束时间,点击 OK按钮完成设置。3)加入输入、输出端口,在波形编辑器窗口左边的端口名列表区点击鼠标右键,

22、 在弹出的右键菜单中选择 Insert Node or Bus命令,在弹出的Insert Node or Bus 对话框如图4-5所示界面中点击 Node Finder按钮。图 4-5 Insert Node or Bus 对话框在出现的Node Finder界面中,如图4-6所示,在Filter列表中选择Pins:all,在Named窗口中输入"* ",点击List在Nodes Found窗口出现所有信号的 W I BJ 3 I KI名称,点击中间的按钮则Selected Nodes窗口下方出现被选择的端口名称。双击OK按钮,完成设置,回到图 4-5所示的Insert N

23、ode or Bus对话框,双击OK钮,所有的输入、输出端口将会在端口名列表区内显示出来,如图4-7所示。Node FinderN3而也d:Filler: |pjn£: allCustamiza.ListLook rl: 1031Nodes FoL<id.17P Include fubentiliasSelected Nodes:NanteA BCDOD1NameD4_dE|0 MIE 孙的 -XeHplOOL>|ehpiP2die 窜IQ5 016go 6 。出中1田7图 4-6 Node Finder 对话框图4-7在波形编辑器中加入端口4)编辑输入端口波形,即指定输

24、入端口的逻辑电平变化,在如图 4-7所示的波形编辑窗口中,选择要输入波形的输入端口如A端口,在端口名显示区左边的波形编辑器工具栏中有要输入的各种波形,其按钮说明如图4-8所示。根据仿真的需要输入波形。完成后如图4-9所示。最后选择软件的 File>Save进行保存。选撵工具 波形编辑工具全屏显示查找未初始化低电平高阻 弱低电平 无关状态计数值 任意值对齐网格文本工具缩放工具替换未知状态高电平弱未知态弱高电平反向时钟排序图4-8波形编辑器工具栏Muttr Time Eu- :165. 0 ns 止Fflinltr:154.4 am Inttrvil图4-980. p ns160-p nw1

25、65. 0 nsJ编辑输入端口波形5)指定仿真器设置,在仿真过程中有时序仿真和功能仿真之分,在这里介绍功能仿真。在QUARTUSII软件中选择Tool>Simulator Tool命令,打开仿真器工具 窗口,如图4-10所示。按图4-10上的提示,首先产生功能仿真网表文件,点击产生功能仿真网表的按钮Generate Functional Simulation Netlist ,产生功能仿真网表,然后点击开始仿真的START按钮开始进行仿真,直到仿真进度条为100%完成仿真。点击仿真报告窗口按钮 Report,观察仿真波形。如图 4-11所示。柒 Simulator Tool-lal x仿

26、真类型Simulation mode: Functional!波形文件一 . Simulation input; pKpLwrf仿真周期-Simulation period一汗 Run simulation until al vector stimufi are usedC End anieHm at |1DO产生功能仿真网表5 而 ij 日 tiari optionsR Aulomatrcally 占加 pins to sirmJatron output avelormsI- Check outputsWmHEfcirm 匚口旧口一归 SEttings. |L Sehjp and ho

27、9;d /巾灯 v,-alalicn deWaHriI- Glitch dejection:f Overwrite simulation input He with simulalion milks Generate SignalActivip File:停止仿真、 00:00:00打开波形文件打开仿真报告窗口开始仿蛇一5刖 |心S6|由Qgn |整Rflporl图4-10 仿真器工具窗口图4-11仿真波形6、编译仿真无误后,依照拨动开关、LED与FPGA勺管脚连接表进行管脚分配。表4-1是示例程序的管脚分配表。分配完成后,再进行全编译一次,以使管脚分配生效。表4-1 端口管脚分配表端口名使用

28、模块信号对应FPGA管脚说明INCLK数字信号源N2时钟为1KHZDATA0拨动开关K1AC22分频比数据DATA 1拨动开关K2AD23DATA 2拨动开关K3AB8DATA 3拨动开关K4AA9DATA 4拨动开关K5AB12DATA 5拨动开关K6AA11DATA 6拨动开关K7AA10DATA 7拨动开关K8AB10FOUTLED 灯 LED1AA17分频输出在前面选择好一个合适的目标器件(在这个实验中选择为 EP2c35F672C8),完成设计的分析综合过程, 得到工程的数据文件以后, 需要对设计中的输入、 输出引脚指定到具体的器件管脚号码, 指定管脚号码称为管脚分配或管脚锁定。这里

29、介绍两种方法进行管脚锁定。1)点击 Assignments菜单下面的 Assignment Editor,进入到引脚分配窗口。如图4-12所示。三 The Assignment Editor is: the interfere for cneating, editing and wiling individual 3ssignrnentSj including pin assignments, in $II sdftmire. To orMte prajsct-widh assignmentsj use thn Settings dialog box (Assignments monu). S

30、elect the category in whi> 与 wanE to crMte edi or vie旧 tsignMwLs in the Ctegor Bar. Tht deFault categor/j display al Kignhients tfMted For i | device family; the Individual asslgnmer* categories mpte arty the asslgnmerts that are legal far the target devlcer Use the h 墨 Bar to display and edit as

31、agnment5 Er 印ecifit nodes and entities. Refer to the Quartus II online Help for more detai&d infonrr o' asagnments and the Assignment Editor.Edit:乂M 卜5 日ValueConmentAssignment Mame-Frgmb<<new»图4-12 进入引脚分配界面首先将要分配管脚的信号放置在To下方。双击To下方的New,如图4-12所示则会出现如图 4-13所示界面。Edit:图4-13信号选择对话框选择No

32、de Finder进入如图4-14所示的Node Finder对话框界面。按图4-14 中样例设置参数。在 Filter窗口选择Pins: all,在Named窗口中输入"*",点击 List在Nodes Found窗口出现所有信号的名称,点击中间的 %1按钮则SelectedNodes窗口下方出现被选择的端口名称。双击OK按钮,完成设置。进入管脚分配窗口,如图4-15所示。Hode FinderLock in: |feai11 J RInclude subenlitiiBsCanedNodtes Found;NameTNameAsTmsllsTUnaynBd Unasii

33、gned Unasied Unaynsd Unassigned Unas-ignBd Undesigned Una斗中国 Unayned Unassignecl U naunedIr lr It C C c c c c cNanoed p“ F亶白石洲, Du釉口同正匕|U虬 I )0KD1234567ABCDDDDDDDDUnas-sgnBdIr IENP1IBUnaiiionedIr1-lEXPllCUnasgnedIrQIEXP1D0Unaigneid0t*|EXP1|D1Unassigned0IEXP1ID2Unagned0fi*|EXP1|D3Unas-signed04>IEX

34、P1C40Unass igned04>|EXP1|D6Unassigned0fl* EXF1D7Unagned0,用 一Fi><II.1>图 4-14 Node Finder 对话框对应的管脚名 AB8 ,按回车键,软件将自动将其改为在图4-15中以锁定端口 A的管脚为例,其它端口的管脚锁定与其基本一致。 选择端口 A的对应Assignment Name待其变为蓝色,双击之,出现下拉菜单选 取如图 4-15 所示的 Location (Accepts wildcards/groups )选项。选择端口 A 的对 应Value栏,待其变为蓝色,依照表 4-1的硬件与FPG

35、A的管脚连接表,输入PIN AB8 ,同时蓝色选择条会自动跳转到Value栏的下一行,这表明软件已经将输入端口A分配到FPGA的AB8引脚上,如图4-16所示。ToAssignment MarneValue12Ignore ROW GLOBAL BuffersIgnore SOFT BufFersImplement as Output oF Logic CellImport File NameInput Delay from Dual-Purpose Cbck Pin to Fan< Input Delay From Pin to Input Register (Accepts 也 In

36、put Delay from Pin to Internal Cells (Accepts will Input M白工imum Delay (Accepts wildcards/groups) Input Minimum Delay (Accepts wildcatds/qroups) Inverted CbckLate Clock Latency3.c4567Qm9QD510QD611通 口712< <new > >Location (Accepts wildcards/groups)Logic Cell InsertionLogic Lock Region Loc

37、ationLogicLock Region Options图4-15 管脚分配ToMssignment NameValueiLocatiunPIN_AB823_C4_zD05-zDl6-zD27_zD38-zD49-zD510_zD611-zD712<<new>>«new»图4-16 给A端口进行管脚分配用同样的方法,依照表 4-1所示的硬件与FPGA的管脚连接表,对其它端口进行管脚分配,如图 4-17所示。2)点击Assignments菜单下面的Pin Planner (也可直接点击工具栏上的引脚分 配按钮电)出现如图4-18所示的所选目标芯片的管

38、脚分布图。T。Assignment NameValue1xLectionPIN坦202fc>D7LocationPIN_AA123&D6bocitionPIN _AA13qLocationPIN 取 145BDGLocationPTNJJ136<D2LocationPJMJUU57DOLocationPIN_AA178<>D1LocationPIN_AA1S9WCLocationPIN _AC2Z10日LocationPIN JD23ii0aLocationPIN >B312<<nev»图4-17所有引脚全部分配结束后的软件窗口憾 E

39、ml.bdf| Pfri PlanrwU电d : LTap Vim - CycloriE II - EP2C3SF672CBLJSK<Jkn&JQ0白*,q,q,-q也.<£ 伞伞 GG=0G-00由 RLIBGGG由由由中口口QGPFgG心 4 008 o 0QQ QCIQQFO. 后 一 0QQFooaoGW ?DG©<>晶0 :-由03 G 由ooy 由00.<D3 用中0自o6 0 «!© S3QO夕&尸图4-18目标芯片的管脚分布图与上面的方法相同, 依照表4-1所示的硬件与FPGA的管脚连接表,如

40、端口A对应的管脚为 AB8 ,则双击AB8管脚出现如图4-19所示对话框。在图4-19对话框中的Node Name框中输入对应的端口名 A或者通过下拉菜单选取对应的端口名称 A,点击OK按钮,完成对端口 A的管脚分配。用相同的方法,依照表 4-1对其它端口进行管脚分配,管脚分配完后,如下图4-20所示。PIN AB8p色|LVTIL (delaul)”Pin nunbe«:Node name:1,0 批mdgdLR&sefred:Properties:0000 -1>&->><> J I » = n 1 1 ”T *:<&

41、gt;S3Name| Value|I/O BankBGeneiial FunctianColumn I ZDSpecial FundionNZAPad ID147MREFPad ID143OK | Cancial.oGe可&由 'Q<:<:3 r<&0e%0 O&CGO由 一电丁AOGE -0 GOG白,./ 一由/a'UUE -电白B卬©G -0O®0V &deeB &>©* 3 - ' "kJ- =-/V - i yx 一“o,t0®© zwa

42、-.,0q &0&合 - -o©oo&-脚EEB图4-19管脚分配对话框trTop View - Cyclone II - EP2C35FE72C8E3niA心0»一 la r Ji r,O QH - 1 " * " 一 鹿田中堡EMMS 修_回中4 5:2:榜不 阿海瑞修4 i* 抽 a|现v,v*左50 0 60oa MMrx 召VG ?-o,OA口国 00 。金 ov< < <<,;/也餐小丹由由令 Q0G 乱JO,0弁3®Q 竺®r0A©<&o"

43、QA百 寸。T L03兆。电£ 80G0 有。oov八© Ys0©OQ 尸 0QqQ00:。2例VGI flfl 00。300。0(£>。/;6686&矽/期由0。 Q00 Q Ao_ ) 00rQooG©© mH-埼ni"4JT图4-20所有引脚全部分配结束后的软件窗口30表4-1端口管脚分配表在图 4-20中,棕色标出的管脚为已被分配锁定的管 脚。值得注意的是,当管脚分配完之后一定要进行再进行一次全编译,以使分 配的管脚有效。7、用下载电缆通过 JTAG口将对应的sof文件加载到FPGA中。观察实验结果是

44、否与自己的编程思想一致。完成对器件的加载有两种形式, 一种是对目标器件进行加载文件, 一种是对 目标器件的配置芯片进行加载。这里我们介绍对目标器件 EP2c35F672C8进行加 载的方法。1)使用下载电缆将 PC机与实验系统连接起来。2)选才i QUARTUSII软件的Tool>Programmer命令,进行编程器窗口,如图4-21 所示,如果没有设置编程硬件,则编程硬件类型为 No Hardware,需要对编程硬 件进行设置。点击 Hardware Setup编程硬件设置按钮,进行如图4-22所示的编程硬件设置对话框。编程硬件空置与H引dJ修5帆up, J N 口 H ardware

45、 编程硬件类型M血:|TAG -编程模式三|Proaress;|口力力口载进度Eu&blime ISF to 虹low皿d progri(for MAX懒0|FileDeviceChecksumUsercodeProgram/ConfigureVerify邵 Stop|Auto DEtccf | X Delete (> Add File. . 阶 Change File. | Cl Save File. 冷 Add Device. -|-ewpl.sd EP2C35F6720 CCFE5E4FFFMH卜0加载文件加载目标器件加载在程海加文件-液加器件图4-22编程器硬件设置对话框

46、3)点击 Add Hardware按钮,出现 Add Hardware对话框,如图 4-23所示。图4-23编程硬件选择对话框4)在Add Hardware对话框中,从 Hardware type列表中选择所需要硬件类型, 如果是USB接口的请参照用户使用手册中的USB电缆的安装与使用,如果使用的是并口下载线则选取如图4-23所示的硬件类型,点击OK按钮,完成对硬件类型的设置。回到编程器硬件设置窗口,点击Close按钮退出设置。则在编程器对话框中的编程硬件类型会出现刚才选取的编程器硬件。5)如果软件已运行一个工程,则在打开编程器的时候,编程器窗口会自动出现 这个工程文件要加载到目标器件的文件,

47、如果要加载其它文件可以从其它地方进行添加更改。选好加载文件后,再点选 Progam/Configure ,编程模式选取 JTAG模式,点击STRAT进行文件加载,直到加载进度变为100%,文件成功加载。五、实验报告要求1 写出详细实验步骤;2 描述分频器的原理。附 : 示例程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity exp4 isport( inclk: in std_logic; -定义时钟信号data: in st

48、d_logic_vector(7 downto 0);-输入控制的数据fout : out std_logic - 分频输出);end exp4;architecture behave of exp4 issignal full :std_logic;beginprocess(inclk)variable cdount1 : std_logic_vector(7 downto 0);beginif inclk'event and inclk='1' then-检测时钟上升沿if cdount1="11111111" thencdount1:=data

49、;full<='1'else cdount1:=cdount1+1;full<='0'end if;end if;end process;process(full)variable cdount2 : std_logic;beginif full'event and full='1' then-检测时钟上升沿 cdount2:= not cdount2;if cdount2='1' thenfout<='1'elsefout<='0'end if;end if;end

50、 process;end behave;37实验五Protel DXP环境下电路设计实验一.实验目的1、 了解Protel DXP设计平台各窗口的组成和各部分的作用。2、掌握Protel DXP文件扩展名及其含义,工程的创建、保存和打开。3、熟悉原理图绘制过程中的各基本元器件及其载入。二.实验原理利用 Windows操作系统下的Protel DXP软件平台进行电路设计。三、实验内容三.实验内容1 .熟悉Protel DXP的工作界面2 .在Protel DXP系统中,进行工程文件的新建、保存与打开。3 .绘制图5-1的模拟电路原理图。100pF图5-1模拟电路原理图| J1 signaJp&#

51、39;jt+ /Cap PolJID呻T5.1K四.实验步骤1、熟悉Protel DXP平台工作界面,并练习工程文件及设计文件的新建、保存、 打开。(1)打开Protel DXP系统,熟悉 Protel DXP的界面组成。(2)新建工程文件,并在指定目录下保存为“ exp5. PrjPCB”。(3)在该工程文件中新建原理图文件,并保存为“ exp5.SCHDOC”。(4)关闭Protel DXP ,再次打开已保存的工程文件“ exp5.PrjPCB”。2、绘制图5-1的模拟电路原理图。(1)打开刚才新建工程文件“exp5. PrjPCB”里的“exp5.SCHDOC "。如图5-2所

52、示。;%nr c 巾心膈S电3注-rri.rrtltell.TT ITO耳图 5-2 打开工程文件 “ exp5. PrjPCB” 里的 “ exp5.SCHDOC(2)利用快捷键 Page up与Page down调整图纸的合适大小。(3)放置元器件和端口及电源、地 工作区。电阻、电容和三极管从元器件库 端口及电源、地在快捷工具栏选取,如图:从兀器件库中取出所需要的兀器件,放在 Miscellaneous Devices.IntLib 中选取, 5-2所示。*'i /(UI*I.期金1:F«£.,EaRF©>IBEh在F,鼎制 Sh£&#

53、171;j»E<S>号IH *Lip-FoilJX:ES£i i I 算 必过10,k-i-e、以.1;Picprl骷 £L"> l>Ei.jpi, IhbLe |rri:E IKUfi lai*、上平kmI,ILL- Jilit Bh Iffl MlH |C、D«但<fit® wrf 物iTLiisp、dkgJii”r :,7TCap Poll lOOpFHuh88clm i hi IT 士国-"JK,LimsEMiEifaHUE D Fiid-FUr-1 tklElfaHUE D tkiEikHE<E 口 F*d.»d ttlElliHUE D PdBMd v川二像IdPWaLPbfl"* Lm&l

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论