版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、1、笔试共分两部分:第一部分为基础篇(必答题);第二部分为专业篇(选答题)。 2、应聘芯片设计岗位的同学请以书面形式回答问题并附简历参加应聘面试。 3、如不能参加现场招聘的同学,请将简历和答卷邮寄或发e-mail的形式(请注明应聘标 题)给我们,以便我们对您作出客观、全面的评价。 第一部分:基础篇(该部分共有试题8题,为必答题,每位应聘者按自己对问题的理解去回 答,尽可能多回答你所知道的内容。若不清楚就写不清楚)。 1、我们公司的产品是集成电路,请描述一下你对集成电路的认识,列举一些与集成电路 相关的内容(如讲清楚模拟、数字、双极型、CMOS、MCU、RISC、CISC、DSP、ASIC、 F
2、PGA等的概念)。 2、你认为你从事研发工作有哪些特点? 1勤奋,为了解决一个技术问题经常会主动留下来加班;2钻研,为了解决一个技术问题会主动学习各方面的资料,勤做实验,用事实去验证;3和领导和同事及时主动沟通,集思广益,争取各方面的资源,充分利用已有的经验,避免走弯路,加快研发进程;4有强烈的事业心和牺牲精神,不达目标绝不停息。3、基尔霍夫定理的内容是什么? 基尔霍夫定律包括电流定律和电压定律 电流定律:在集总电路中,任何时刻,对任一节点,所有流出节点的支路电流的代数和恒等于零。 电压定律:在集总电路中,任何时刻,沿任一回路,所有支路电压的代数和恒等于零。4、描述你对集成电路设计流程的认识
3、集成电路设计的流程一般先要进行软硬件划分,将设计基本分为两部分:芯片硬件设计和软件协同设计。芯片硬件设计包括:1功能设计阶段。设计人员产品的应用场合,设定一些诸如功能、操作速度、接口规格、环境温度及消耗功率等规格,以做为将来电路设计时的依据。更可进一步规划软件模块及硬件模块该如何划分,哪些功能该整合于SOC 内,哪些功能可以设计在电路板上。2设计描述和行为级验证功能设计完成后,可以依据功能将SOC 划分为若干功能模块,并决定实现这些功能将要使用的IP 核。此阶段将接影响了SOC 内部的架构及各模块间互动的讯号,及未来产品的可靠性。决定模块之后,可以用VHDL 或Verilog 等硬件描述语言实
4、现各模块的设计。接着,利用VHDL 或Verilog 的电路仿真器,对设计进行功能验证(functionsimulation,或行为验证 behavioral simulation)。注意,这种功能仿真没有考虑电路实际的延迟,但无法获得精确的结果。3逻辑综合确定设计描述正确后,可以使用逻辑综合工具(synthesizer)进行综合。综合过程中,需要选择适当的逻辑器件库(logic cell library),作为合成逻辑电路时的参考依据。硬件语言设计描述文件的编写风格是决定综合工具执行效率的一个重要因素。事实上,综合工具支持的HDL 语法均是有限的,一些过于抽象的语法只适于作为系统评估时的仿真
5、模型,而不能被综合工具接受。逻辑综合得到门级网表。4门级验证(Gate-Level Netlist Verification)门级功能验证是寄存器传输级验证。主要的工作是要确认经综合后的电路是否符合功能需求,该工作一般利用门电路级验证工具完成。注意,此阶段仿真需要考虑门电路的延迟。5布局和布线布局指将设计好的功能模块合理地安排在芯片上,规划好它们的位置。布线则指完成各模块之间互连的连线。注意,各模块之间的连线通常比较长,因此,产生的延迟会严重影响SOC的性能,尤其在0.25 微米制程以上,这种现象更为显著。 目前,这一个行业仍然是中国的空缺,开设集成电路设计与集成系统专业的大学还比较少,其中师
6、资较好的学校有 上海交通大学,哈尔滨工业大学,黑龙江大学、东南大学,西安电子科技大学,电子科技大学,哈尔滨理工大学,复旦大学,华东师范大学等。这个领域已经逐渐饱和,越来越有趋势走上当年软件行业的道路。5、描述你对集成电路工艺的认识。 集成电路工艺(integrated circuit technique )是把电路所需要的晶体管、二极管、电阻器和电容器等元件用一定工艺方式制作在一小块硅片、玻璃或陶瓷衬底上,再用适当的工艺进行互连,然后封装在一个管壳内,使整个电路的体积大大缩小,引出线和焊接点的数目也大为减少。利用研磨、抛光、氧化、扩散、光刻、外延生长、蒸发等一整套平面工艺技术,在一小块硅单晶片
7、上同时制造晶体管、二极管、电阻和电容等元件,并且采用一定的隔离技术使各元件在电性能上互相隔离。然后在硅片表面蒸发铝层并用光刻技术刻蚀成互连图形,使元件按需要互连成完整电路,制成半导体单片集成电路。6、你知道的集成电路设计的表达方式有哪几种? IC 分数字和模拟 门海 门阵列 FPGA ASIC CPLD7、描述一个交通信号灯的设计。 总体设计方案共有五个部分组成,分别是:单片机89C52、发光二极管电路、LED数显电路及驱动电路、晶振及复位控制电路、控制与调时开关电路。8、我们将研发人员分为若干研究方向,对协议和算法理解(主要应用在网络通信、图象 语音压缩方面)、电子系统方案的研究、用MCU、
8、DSP编程实现电路功能、用ASIC设计技 术设计电路(包括MCU、DSP本身)、电路功能模块设计(包括模拟电路和数字电路)、 集成电路后端设计(主要是指综合及自动布局布线技术)、集成电路设计与工艺接口的 研究。你希望从事哪方面的研究?(可以选择多个方向。另外,已经从事过相关研发的 人员可以详细描述你的研发经历)。 第二部分:专业篇(根据你选择的方向回答以下你认为相关的专业篇的问题。一般情况 下你只需要回答五道题以上,但请尽可能多回答你所知道的,以便我们了解你的知识结 构及技术特点。) 1、 请谈谈对一个系统设计的总体思路。针对这个思路,你觉得应该具备哪些方面的知 识? 2、现有一用户需要一种集
9、成电路产品,要求该产品能够实现如下功能:y=lnx,其中, x为4位二进制整数输入信号。y为二进制小数输出,要求保留两位小数。电源电压为35 v假设公司接到该项目后,交由你来负责该产品的设计,试讨论该产品的设计全程。 3、简单描述一个单片机系统的主要组成模块,并说明各模块之间的数据流流向和控制流 流向。简述单片机应用系统的设计原则。 单片机是一种微型的计算机,应该由运算器、控制器、存储器、输入设备、输出设备组成。一个单片机应用系统的硬件电路设计包含两部分内容:一是系统扩展,即单片机内部的功能单元,如ROM、RAM、I/O、定时器/计数器、中断系统等不能满 足应用系统的要求时,必须在片外进行扩展
10、,选择适当的芯片,设计相应的电路。二是系统的配置,即按照系统功能要求配置外围设备,如键盘、显示器、打印机、 A/D、D/A转换器等,要设计合适的接口电路。 系统的扩展和配置应遵循以下原则:1、尽可能选择典型电路,并符合单片机常规用法。为硬件系统的标准化、模块化打下良好的基础。2、系统扩展与外围设备的配置水平应充分满足应用系统的功能要求,并留有适当余地,以便进行二次开发。3、硬件结构应结合应用软件方案一并考虑。硬件结构与软件方案会产生相互影响,考虑原则是:软件能实现的功能尽可能由软件实现,以简化硬件结构。但必须注意,由软件实现的硬件功能,一般响应时间比硬件实现长,且占用CPU时间。4、系统中的相
11、关器件要尽可能做到性能匹配。如选用CMOS芯片单片机构成低功耗系统时,系统中所有芯片都应尽可能选择低功耗产品。5、可靠性及抗干扰设计是硬件设计必不可少的一部分,它包括芯片、器件选择、去耦滤波、印刷电路板布线、通道隔离等。6、单片机外围电路较多时,必须考虑其驱动能力。驱动能力不足时,系统工作不可靠,可通过增设线驱动器增强驱动能力或减少芯片功耗来降低总线负载。7、尽量朝“单片”方向设计硬件系统。系统器件越多,器件之间相互干扰也越强,功耗也增大,也不可避免地降低了系统的稳定性。随着单片机片内集成的功能 越来越强,真正的片上系统SoC已经可以实现,如ST公司新近推出的PSD32××
12、系列产品在一块芯片上集成了80C32核、大容量FLASH存储器、 SRAM、A/D、I/O、两个串口、看门狗、上电复位电路等等4、请用方框图描述一个你熟悉的实用数字信号处理系统,并做简要的分析;如果没有, 也可以自己设计一个简单的数字信号处理系统,并描述其功能及用途。 5、画出8031与2716(2K*8ROM)的连线图,要求采用三-八译码器,8031的P2.5,P2.4和 P2.3参加译码,基本地址范围为3000H-3FFFH。该2716有没有重叠地址?根据是什么?若 有,则写出每片2716的重叠地址范围。 6、用8051设计一个带一个8*16键盘加驱动八个数码管(共阳)的原理图。 简单办法
13、是使用2片74HC595串联产生16行/列,然后用51的P1口作为列/行;另外用一片74HC595+8个8055作为数码管位选,管位选线每根串联一个限流电阻,P0(建议加上拉电阻)作为段选即可7、PCI总线的含义是什么?PCI总线的主要特点是什么? 8、请简要描述HUFFMAN编码的基本原理及其基本的实现方法。 9、说出OSI七层网络协议中的四层(任意四层)。 10、中断的概念?简述中断的过程。 中断的慨念:当外部请求服务时,暂时中断当前主程序,转而执行中断处理程序,完成后自动返回被中断的主程序继续运行。中断系统应具有的功能: (1)适用于多个中断源,能用软件进行屏蔽控制。 (2)具有中断优先
14、级判别的功能。 (3)具有中断嵌套的功能。 (4)响应中断后能自动转向中断服务程序,结束后自动返回主程序。中断的作用: (1)实现CPU和外围设备的并行工作。 (2)实现分时操作。CPU可以通过定时中断在各道程序之间切换。 (3)监督现行程序,提高系统处理故障的能力和系统可靠性。 (4)实现实时处理。 (5)实现人机交换。中断的过程:中断源发出中断请求对中断请求进行响应执行中断服务程序返回主程序。11、说说对数字逻辑中的竞争和冒险的理解,并举例说明竞争和冒险怎样消除。 在组合逻辑中由于门的输入信号通路中经过了不同的延时导致到达该门的时间不一致叫竞争。产生毛刺叫冒险。如果布尔式中有相反的信号则可
15、能产生竞争和冒险现象。解决方法一是添加布尔式的消去项二是在芯片外部加电容。 12、要用一个开环脉冲调速系统来控制直流电动机的转速,程序由8051完成。简单原理 如下:由P3.4输出脉冲的占空比来控制转速,占空比越大,转速越快;而占空比由 K7-K0八个开关来设置,直接与P1口相连(开关拨到下方时为"0",拨到上方时为"1",组成一 个八位二进制数N),要求占空比为N/256。 下面程序用计数法来实现这一功能,请将空余部分添完整。 MOV P1,#0FFH LOOP1 :MOV R4,#0FFH - MOV R3,#00H LOOP2 :MOV A,P1
16、- SUBB A,R3 JNZ SKP1 - SKP1:MOV C,70H MOV P3.4,C ACALL DELAY :此延时子程序略 - - AJMP LOOP1 13、用你熟悉的设计方式设计一个可预置初值的7进制循环计数器,15进制的呢? 14、请用HDL描述四位的全加法器、5分频电路。 四位全加器:library ieee;use ieee.std_logic_1164.all;entity adder4 isport (af1,af2,af3,af4 : in std_logic;bf1,bf2,bf3,bf4 : in std_logic;sun1,sum2,sum3,sum4,
17、count4 : out std_logic);end adder4;architecture add_arc of adder4 issignal count1,count2,count3,count4 : std_logic component adder_hport (a1,b1 : in std_logic;s1,con1 : out std_logic);end component component adder_fport(a2,b2,cin : In std_logic;s2,co2: out std_logic);end component;beginu1:adder_h po
18、rt mapa1=>af1,b1=>bf1,s1=>sum1,con=>cout1;u2:adder_f port mapa2=>af2,b2=>bf2,cin=>cout1,s2=>sum2,co2=>cout2;u3:adder_f port mapa2=>af3,b2=>bf3,cin=>cout2,s2=>sum3.co2=>cout3;u4:adder_f port mapa2=>af4,b2=>bf4,cin=>cout3,s2=>sum4,co2=>cout4;5分频
19、电路 Verilog语言实现5分频电路的设module div_5(clkin,rst,clkout); input clkin,rst; output clkout; reg2:0 step1,step2; always (posedge clkin) if(!rst) step1<=3'b000; else begin case(step1) 3'b000:step1<=3'b001; 3'b001:step1<=3'b011; 3'b011:step1<=3'b100; 3'b100:step1<
20、;=3'b010; 3'b010:step1<=3'b000; default:step1<=3'b000; endcase end always (negedge clkin) if(!rst) step2<=3'b000; else begin case(step2) 3'b000:step2<=3'b001; 3'b001:step2<=3'b011; 3'b011:step2<=3'b100; 3'b100:step2<=3'b010; 3&
21、#39;b010:step2<=3'b000; default:step2<=3'b000; endcase end assign clkout=step10|step20; endmodul15、简述FPGA等可编程逻辑器件设计流程。 16、同步电路和异步电路的区别是什么? 异步电路主要是组合逻辑电路,用于产生地址译码器、或的读写控制信号脉冲,但它同时也用在时序电路中,此时它没有统一的时钟,状态变化的时刻是不稳定的,通常输入信号只在电路处于稳定状态时才发生变化。也就是说一个时刻允许一个输入发生变化,以避免输入信号之间造成的竞争冒险。电路的稳定需要有可靠的建立时间和
22、持时间,待下面介绍。 同步电路是由时序电路(寄存器和各种触发器)和组合逻辑电路构成的电路,其所有操作都是在严格的时钟控制下完成的。这些时序电路共享同一个时钟,而所有的状态变化都是在时钟的上升沿(或下降沿)完成的。比如触发器,当上升延到来时,寄存器把端的电平传到输出端。17、电压源、电流源是集成电路中经常用到的模块,请画出你知道的线路结构,简单描 述其优缺点。 18、描述反馈电路的概念,列举他们的应用。19、放大电路的频率补偿的目的是什么, 有哪些方法? 20、画出CMOS电路的晶体管级电路图,实现Y=A.B+C(D+E) 21、请分析如下电路所实现的功能。 22、A) #include voi
23、d testf(int*p) *p+=1; main() int *n,m2; n=m; m0=1; m1=8; testf(n); printf("Data value is %d ",*n); - B) #include void testf(int*p) *p+=1; main() int *n,m2; n=m; m0=1; m1=8; testf(&n); printf(Data value is %d",*n); 下面的结果是程序A还是程序B的? Data value is 8 那么另一段程序的结果是什么? 23、用简单电路实现,当A为输入时,输
24、出B波形为:A: B: 24、LC正弦波振荡器有哪几种三点式振荡电路,分别画出其原理图。 25、锁相环有哪几部分组成? 26、人的话音频率一般为3003400HZ,若对其采样且使信号不失真,其最小的采样频率 应为多大?若采用8KHZ的采样频率,并采用8bit的PCM编码,则存储一秒钟的信号数据量 有多大? 27、在CMOS电路中,要有一个单管作为开关管精确传递模拟低电平,这个单管你会用P管 还是N管,为什么? 28、画出由运放构成加法、减法、微分、积分运算的电路原理图。并画出一个晶体管级 的运放电路。 29、数字滤波器的分类和结构特点。 30、DAC和ADC的实现各有哪些方法? 31、描述CM
25、OS电路中闩锁效应产生的过程及最后的结果? 32、什么叫做OTP片、掩膜片,两者的区别何在? 33、列举几种集成电路典型工艺。工艺上常提到0.25,0.18指的是什么? 34、请描述一下国内的工艺现状。 35、请简述一下设计后端的整个流程? 36、有否接触过自动布局布线?请说出一两种工具软件。自动布局布线需要哪些基本元 素? 37、半导体工艺中,掺杂有哪几种方式? 38、什么是NMOS、PMOS、CMOS?什么是增强型、耗尽型?什么是PNP、NPN?他们有什么 差别? 39、为什么一个标准的倒相器中P管的宽长比要比N管的宽长比大? 40、硅栅COMS工艺中N阱中做的是P管还是N管,N阱的阱电位
26、的连接有什么要求? 汉王笔试 1、下面是一些基本的数字电路知识问题,请简要回答之。 a) 什么是Setup 和Holdup时间? Setup/hold time 是测试芯片对输入信号和时钟信号之间的时间要求。建立时间是指触 发器的时钟信号上升沿到来以前,数据稳定不变的时间。输入信号应提前时钟上升沿 (如上升沿有效)T时间到达芯片,这个T就是建立时间-Setup time. 如不满足setup time,这个数据就不能被这一时钟打入触发器,只有在下一个时 钟上升沿,数据才能被打入触发器。 保持时间是指触发器的时钟信号上升沿到来以后,数据稳定不变的时间。 如果holdtime不够,数据同样不能被打
27、入触发器。 b) 什么是竞争与冒险现象?怎样判断?如何消除? c) 请画出用D触发器实现2倍分频的逻辑电路? d) 什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求? e) 什么是同步逻辑和异步逻辑? f) 请画出微机接口电路中,典型的输入设备与微机接口逻辑示意图(数据接口、控制接 口、所存器/缓冲器)。 g) 你知道那些常用逻辑电平?TTL与COMS电平可以直接互连吗? 2、 可编程逻辑器件在现代电子设计中越来越重要,请问: a) 你所知道的可编程逻辑器件有哪些? b) 试用VHDL或VERILOG、ABLE描述8位D触发器逻辑。 3、 设想你将设计完成一个电子电
28、路方案。请简述用EDA软件(如PROTEL)进行设计(包 括原理图和PCB图)到调试出样机的整个过程。在各环节应注意哪些问题? 飞利浦大唐笔试归来 1、用逻辑们和cmos电路实现ab+cd 2、用一个二选一mux和一个inv实现异或 3、给了reg的setup,hold时间,求中间组合逻辑的delay范围。 4. 如何解决亚稳态 5. 用verilog/vhdl写一个fifo控制器 6. 用verilog/vddl检测stream中的特定字符串 信威dsp软件面试题 1)DSP和通用处理器在结构上有什么不同,请简要画出你熟悉的一种DSP结构图 2)说说定点DSP和浮点DSP的定义(或者说出他们的区别) 3)说说你对循
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 2024至2030年中国新型粉煤灰混凝土数据监测研究报告
- 2024至2030年中国多功能采暖炉数据监测研究报告
- 2024年四川省成都市中考语文试题含答案
- 2024至2030年中国SB十二直裙数据监测研究报告
- 2024年中国偏式挂头不锈钢喉箍市场调查研究报告
- 非人力资源经理的人力资源管理讲师版
- 仓库内人员流动管理计划
- 出国打工合同
- 动漫行业月度个人工作计划
- 报停启用供用电协议书范本
- 如何做好机关办公楼物业管理工作
- 疝环充填式无张力修补的手术要点
- 盾构管片拼装质量问题分析及措施1
- 钢结构工程监理规划(完整版)
- 事业单位岗位设置审核表
- 印刷机操作规程
- 松江老宅概观
- 历世真仙体道通鉴
- 离心式压缩机安装工程监理实施细则模板
- 人教PEP五年级上册英语《Unit 2 Let‘s spell 》PPT课件
- 加强钻井安全管理工作的几点对策
评论
0/150
提交评论