电路实验七(触发器及计数器功能测试与应用)_第1页
电路实验七(触发器及计数器功能测试与应用)_第2页
电路实验七(触发器及计数器功能测试与应用)_第3页
全文预览已结束

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、实验名称班级: 学号: 姓名:指导教师: 成绩: 评阅时间:一.触发器功能测试及应用1实验目的及实验设备1) 通过实验,能够掌握d触发器和t触发器原理和应用。2) 实验设备:数字电路实验教学平台2 实验原理(1) d触发器一般采用吋钟脉冲cp上升沿触发翻转的边沿触发电路结构,d触发器是一种延迟型触发器, 不管触发的现态是0还是1, cp脉冲上升沿到来后,触发器的状态都将变成与cp脉冲上升沿到来时的d端输 入值相同,相当于将数据d存入了 d触发器中。利用8个d触发器实现数据移位功能的参考逻辑图如下图所示。 图中elk接到按键输入端,数据端d接到一位拨码开关。当拨码开关为0时,按下按键,0从第一个

2、d触发器移 到第二个d触发器,同时,ledo亮,继续按键,数据0从第二个d触发器移动第三个触发器,同时,led1亮。 这样例实现数据的移位。 output |> doyt7.0(2)t触发器是一种只冇保持和翻转功能的翻转触发器。t是它的激励信号输入端。将t端固定接逻辑1, 则可得只启翻转功能的触发器,每一个时钟脉冲,t触发器的状态就翻转一次。利用t触发器实现电子开关的参 考逻辑图如下图所示。逻辑图中cp端接一个按键输入端,t端接高电平,按键默认情况下为高电平,当按键按 下时电平由鬲电平变为低电平,这样便产生一个脉冲,q端输出1,蜂鸣器响,同时led灯亮。在数字电路实验教学平台各个led管

3、对应的fpga控制管脚表1所示:ledoled1led2led3led4led5led6led723423312222221180179拨码开关对应的fpga控制管脚表2所示:sw1sw2sw3sw4sw5sw6sw7sw87775736765636158按键和蜂鸣器对应的fpga控制管脚表3所示:key1key2key3key4key5key6buzzclk4953555759602141533 实验内容和步骤(1) 启动quartus 11 6.0,利用创建工程向导建立一个工程文件,建立一个原理图输入文件。(2) 建立实验原理(1)、(2)所示电路,并验证结果。二.计数器功能测试及应用1实

4、验目的及实验设备1) 通过实验,掌握74163的工作原理和其应用。2) 实验设备:数字电路实验教学平台。2 实验内容和原理(1)运用74163实现加法计数,并通过led灯或七段数码管显示结果。74163的clrn是低电平有效的同步 清0信号,在所冇优先信号中优先权最高。ldn是低电平冇效的同步置数信号。dcba是需要置入的并行数据 输入端。qa、qb、qc、qd是数据输出。ent、enp为计数控制信号,只有当ent、tnp同时为1时,计数 才能计数。rco是进位输出。通过设置吋钟信号和控制倍号就可以实现4位加法计数器,在qaqd数据端接 上led灯的信号脚或者七段数码管上就可看到加法计数结果的

5、输出效果。74163ldn-a-0qa|icoeiidqc|ent00ienprcoyclrnclkinst5 counterldn0ldnldnabqa-abqaabqacqb-4c00fcqbidqcdqc!dqc7entqdent00jentqdenpclrnclkrcopoenpclrnclkrcoenpclrnclkrco» «' 741637416374163instd counterjnst7._counterinst9 counter74163ldnabcdentenp clrnqaqbqcqdrcoclkhnstll counterldnabqac

6、qbdqcentqdenprcoclrnclk74163instig counter iii <* «ledoied2ldnabqacqbdqcentqdenprcoclrnclk74163inst14 counteriii !>»* ied4ied5ied6bd7上图右下角的74163做四位二进制数加法计数,可以led或数码管观测其四位输出,前面七个74163是为 了对来自实验向上人时钟信号clk进行分频,使计数速度慢到我们视力可以看到的范围。(2)利用8位计数器(scount)实现走马灯的参考逻辑图如下图所示。系统时钟频率是24.576mhzo 一个scount 可以实现256分频,利用3个scount级联分频,并把末级分频得到的频率接到74138的3个输入端,译码输出 端接到8个led灯上,8个led灯依次被点亮,这样便实现走马灯的效果。3 实验内容和步骤(1) 启动quartus

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

最新文档

评论

0/150

提交评论