ED技术实用教程第五章VHDL设计初步_第1页
ED技术实用教程第五章VHDL设计初步_第2页
ED技术实用教程第五章VHDL设计初步_第3页
ED技术实用教程第五章VHDL设计初步_第4页
ED技术实用教程第五章VHDL设计初步_第5页
已阅读5页,还剩94页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、edaeda技术技术实用教程实用教程z原理图输入与 vhdl文本输入设计的区别ygraphic is what you draw is what you getx“ tell me what hardware you want and i will give it to you”yvhdl is what you write is what functional you getx“ tell me how your circuit should behave and the vhdl compiler will give you the hardware that does the job”x

2、 but the designer can not control how the circuit implement是什么是vhdl? very high speed integrated hardware description language (vhdl)y是ieee、工业标准硬件描述语言y用语言的方式而非图形等方式描述硬件电路x容易修改x容易保存y特别适合于设计的电路有:x复杂组合逻辑电路,如: 译码器、编码器、加减法器、多路选择器、地址译码器.x状态机x等等.vhdlvhdl的功能和标准的功能和标准z vhdl 描述y输入端口y输出端口y电路的行为和功能zvhdl有过两个标准:yi

3、eee std 1076-1987 (called vhdl 1987)yieee std 1076-1993 (called vhdl 1993)vhdl synthesis vs. other hdls synthesiszvhdl: “tell me how your circuit should behave and i will give you hardware that does the job”zabel, palasm, ahdl:“tell me what hardware you want and i will give it to you”why using vhdl

4、instead of graphiczeasy to modifyzit is more powerful than graphiczvhdl is a portable language becauseyis device independentythe same code can be applied to device manufactured by company a or company b 【例5-1】entity mux21a is port( a, b : in bit ; s : in bit; y : out bit ) ;end entity mux21a ;archit

5、ecture one of mux21a is begin y = a when s = 0 else b ;end architecture one ;实体结构体5.1 多路选择器多路选择器vhdl描述描述图图5-1 mux21a实体实体图图5-2 mux21a结构体结构体5.1.1 2选选1多路选择器的多路选择器的vhdl描述描述5.1.1 2选选1多路选择器的多路选择器的vhdl描述描述【例例5-2】 entity mux21a is port ( a, b : in bit; s : in bit; y : out bit ); end entity mux21a;architectu

6、re one of mux21a is signal d,e : bit; begind = a and (not s) ;e = b and s ;y = d or e ; end architecture one ;【例【例5-3】 . . . architecture one of mux21a is begin y = (a and (not s) or (b and s) ; end architecture one;5.1.1 2选选1多路选择器的多路选择器的vhdl描述描述【例【例5-4】 entity mux21a is port ( a, b, s: in bit; y :

7、out bit );end entity mux21a;architecture one of mux21a is begin process (a,b,s) begin if s = 0 then y = a ; else y = b ;end if; end process;end architecture one ;5.1.1 2选选1多路选择器的多路选择器的vhdl描述描述图图5-3 mux21a功能时序波形功能时序波形5.1.2 vhdl相关语句说明相关语句说明1. 1. 实体表达实体表达【例【例5-5】entity e_name is port ( p_name : port_m

8、data_type; . p_namei : port_mi data_type );end entity e_name;或:或:【例【例5-6】entity e_name is port ( p_name : port_m data_type; . p_namei : port_mi data_type );end e_name;5.1.2 vhdl相关语句说明相关语句说明2. 2. 实体名实体名3. 3. portport语句和端口信号名语句和端口信号名4. 4. 端口模式端口模式inoutinoutbuffer5. 5. 数据类型数据类型bitbit5.1.2 vhdl相关语句说明相关语

9、句说明6. 6. 结构体表达结构体表达【例【例5-7】architecture arch_name of e_name is (说明语句说明语句)begin (功能描述语句功能描述语句)end architecture arch_name ;或:或:【例【例5-8】architecture arch_name of e_name is (说明语句说明语句)begin (功能描述语句功能描述语句)end arch_name ;7. 7. 信号传输信号传输( (赋值赋值) )符号和数据比较符号符号和数据比较符号5.1.2 vhdl相关语句说明相关语句说明8. 8. 逻辑操作符逻辑操作符andand

10、、oror、notnot9. 9. if_thenif_then条件语句条件语句10. 10. when_elsewhen_else条件信号赋值语句条件信号赋值语句赋值目标赋值目标 = 表达式表达式 when 赋值条件赋值条件 else 表达式表达式 when 赋值条件赋值条件 else . 表达式表达式 ;11. 11. processprocess进程语句和顺序语句进程语句和顺序语句12. 12. 文件取名和存盘文件取名和存盘5.1.3 vhdl设计的基本概念和语句小节设计的基本概念和语句小节数据类型信号赋值符条件比较符 延时实体结构体端口定义端口模式逻辑操作符if条件语句并行条件语句进程

11、语句顺序语句并行语句文件取名文件存盘5.2 寄存器描述及其寄存器描述及其vhdl语言现象语言现象5.2.1 d触发器的触发器的vhdl描述描述【例5-9】library ieee ;use ieee.std_logic_1164.all ; entity dff1 is port (clk : in std_logic ; d : in std_logic ; q : out std_logic ); end ; architecture bhv of dff1 is signal q1 : std_logic ; -类似于在芯片内部定义一个数据的暂存节点 begin process (clk

12、) begin if clkevent and clk = 1 then q1 = d ; end if; q = q1 ; -将内部的暂存数据向端口输出 end process ; end bhv;d触发器触发器比较用5种不同语句的d触发器vhdl程序entity test1 isport (clk, d : in bit; q : out bit);end test1;architecture body of test1 issignal q1 : bit ;beginprocess (clk)begin if clk=1 and clklast_value=0 then q1 = d;

13、end if; q = q1 ;end process;end test1_body;library ieee;use ieee.std_logic_1164.all;entity test1 isport (clk, d : in bit; q : out bit);end test1;architecture body of test1 isbeginprocess (clk,d)begin if rising_edge(clk) then q = d; end if;end process;end test1_body;entity test1 isport (clk : in bit;

14、 d : in bit; q : out bit);end test1;architecture body of test1 issignal q1 : bit ;beginprocess (clk,d)begin if (clk = 1) then q1 = d; end if; q = q1 ;end process;end body;entity test1 isport (clk : in bit; d : in bit; q : out bit);end test1;architecture body of test1 issignal q1 : bit ;beginprocess

15、(clk)begin if (clk = 1) then q1 = d; end if; q = q1 ;end process;end body;how many registers?entity reg1 isport ( d: in bit;clk : in bit;q: out bit);end reg1;architecture reg1 of reg1 issignal a, b : bit;beginprocess (clk)beginif clk=1 and clkevent thena = d;b = a;q = b;end if;end process;end reg1;h

16、ow many registers?entity reg1 isport ( d: in bit;clk : in bit;q: out bit);end reg1;architecture reg1 of reg1 isbeginprocess (clk)variable a, b : bit;beginif clk=1 and clkevent thena := d;b := a;q = b;end if;end process;end reg1;用用vhdl设计设计4位计数器位计数器ab01010101取整数数据类型,为什么?整数取值范围端口信号模式取buffer,为什么?注意整数和位的

17、不同表达方式!修改后的程序运算符加载注意,信号注意,信号端口模式和端口模式和数据类型的数据类型的改变!改变!注意,引注意,引进内部信进内部信号矢量!号矢量!4位锁存器位锁存器组合电路加组合电路加1器器锁存信号锁存信号输出反馈输出反馈用用vhdl设计设计7段段16进制译码器进制译码器用用case语句完成真值表的功能语句完成真值表的功能向向7段数码段数码管输出信号,管输出信号,最高位控制最高位控制小数点小数点注意,此语句必须加入注意,此语句必须加入4位加法位加法计数器计数器7段译码器段译码器8位总线输出位总线输出信信号号输输出出数据对象信号signal 和变量 variablezsignal as

18、signmentyreceive the assign value after a period of timezvariable assignmentyhappens immediately when the statement is executed, no delaysignals vs. variablesrepresent circuitrepresent local storageinterconnectglobal scope (anywhere)local scope(inside process)updated at end of processupdated immedia

19、tely(new value not available)(new value available) signalsvariables utility: scope:behavior:例1library ieee;use ieee.std_logic_1164.all;entity mux4 isport (i0, i1, i2, i3, a, b : in std_logic; q : out std_logic);end mux4;architecture body_mux4 of mux4 issignal muxval : integer;beginprocess(i0,i1,i2,i

20、3,a,b)beginmuxval = 0;if (a = 1) then muxval = muxval + 1;end if;if (b = 1) then muxval q q q q null;end case;end process; end body_mux4;why ?library ieee;use ieee.std_logic_1164.all;entity mux4 isport (i0, i1, i2, i3, a, b : in std_logic; q : out std_logic);end mux4;architecture body_mux4 of mux4 i

21、sbeginprocess(i0,i1,i2,i3,a,b)variable muxval : integer range 0 to 3;beginmuxval := 0;if (a = 1) then muxval := muxval + 1;end if;if (b = 1) then muxval := muxval + 2;end if;case muxval is when 0 = q q q q null;end case;end process; end body_mux4;library ieee; use ieee.std_logic_1164.all; entity h_a

22、dder is port (a,b : in std_logic; co, so : out std_logic); end entity h_adder; architecture fh1 of h_adder ibegin so = (a or b)and(a nand b); co = not( a nand b); end architecture fh1;用一位全加器设计用一位全加器设计1位二进制半加器位二进制半加器1位二进制全加器位二进制全加器内部端口外部端口端口连线5.2.2 d触发器触发器vhdl描述的语言现象说明描述的语言现象说明1. 1. 标准逻辑位数据类型标准逻辑位数据类

23、型std_logicstd_logicbit数据类型定义: type bit is(0,1);type bit is(0,1);std_logic数据类型定义:type std_logic is (u,x,0,1,z,w,l,h,-);type std_logic is (u,x,0,1,z,w,l,h,-);std_logic所定义的9种数据的含义是:uu表示未初始化的;表示未初始化的; xx表示强未知的;表示强未知的; 0 0表示强表示强逻辑逻辑0 0; 1 1表示强逻辑表示强逻辑1 1; zz表示高阻态;表示高阻态; w w 表示弱未知的;表示弱未知的; ll表示弱逻辑表示弱逻辑0 0;

24、 hh表示弱表示弱逻辑逻辑1 1; - -表示忽略。表示忽略。5.2.2 d触发器触发器vhdl描述的语言现象说明描述的语言现象说明2. 2. 设计库和标准程序包设计库和标准程序包3. 3. signalsignal信号定义和数据对象信号定义和数据对象【例5-10】architecture bhv of dff1 is begin process (clk) begin if clkevent and clk = 1 then q = d ; end if; end process ;end ;使用库和程序包的一般定义表式是: library library ; use use .all ;

25、all ; 5.2.2 d触发器触发器vhdl描述的语言现象说明描述的语言现象说明4. 4. 上升沿检测表式和信号属性函数上升沿检测表式和信号属性函数eventevent 关键词event是信号属性,vhdl通过以下表式来测定某信号的跳变边沿: eventevent5. 5. 不完整条件语句与时序电路不完整条件语句与时序电路【例【例5-11】entity comp_bad is port( a1 : in bit; b1 : in bit; q1 : out bit ); end ; architecture one of comp_bad is begin process (a1,b1) b

26、egin if a1 b1 then q1 = 1 ; elsif a1 b1 then q1 b1 then q1 = 1 ; else q1 = 0 ; end if; end process ; end 图图5-6 例例5-12的电路图的电路图5.2.3 实现时序电路的实现时序电路的vhdl不同表达方式不同表达方式【例【例5-13】.process (clk) beginif clkevent and (clk=1) and (clklast_value=0) then q = d ; -确保确保clk的变化是一次上升沿的跳变的变化是一次上升沿的跳变 end if; end proces

27、s ;【例【例5-14】.process (clk) beginif clk=1 and clklast_value=0 -同例同例5-13 then q = d ; end if; end process ;5.2.3 实现时序电路的实现时序电路的vhdl不同表达方式不同表达方式【例【例5-15】library ieee ;use ieee.std_logic_1164.all ;entity dff3 is port (clk : in std_logic ; d : in std_logic ; q : out std_logic ); end ; architecture bhv of

28、 dff3 is signal q1 : std_logic; begin process (clk) begin if rising_edge(clk) - clk的数据类型必须是的数据类型必须是std_logic then q1 = d ; end if; q = q1 ; end process ; end ;5.2.3 实现时序电路的实现时序电路的vhdl不同表达方式不同表达方式【例5-16】 . process begin wait until clk = 1 ; -利用利用wait语句语句 q = d ; end process;【例5-17】. process (clk) beg

29、in if clk = 1 then q = d ; -利用进程的启动特性产生对利用进程的启动特性产生对clk的边沿检测的边沿检测 end if; end process ;【例5-18】. process (clk,d) begin if clk = 1 -电平触发型寄存器电平触发型寄存器 then q = d ; end if; end process ;5.2.3 实现时序电路的实现时序电路的vhdl不同表达方式不同表达方式图图5-7 边沿型触发器时序波形边沿型触发器时序波形图图5-8 电平触发型寄存器的时序波形电平触发型寄存器的时序波形5.2.4 异步时序电路设计异步时序电路设计 【例

30、例5-19】. architecture bhv of multi_dff is signal q1,q2 : std_logic; beginpro1: process (clk) begin if clkevent and clk=1 then q1 = not (q2 or a); end if; end process ;pro2:process (q1) begin if q1event and q1=1 then q2 = d; end if; qq = q2 ; end process ; 图图5-9 例例5-19综合的电路综合的电路5.2.5 vhdl设计基本概念和语言现象小节

31、设计基本概念和语言现象小节数据类型数据对象信号属性时钟检测vhdl库程序包时序电路异步时序5.3 1位二进制全加器的位二进制全加器的vhdl设计设计图图5-10半加器半加器h_adder电路图电路图图图5-11 全加器全加器f_adder电路图电路图5.3.1 半加器描述和半加器描述和case语句语句absoco0000011010101101表表5-1 半加器半加器h_adder逻辑功能真值表逻辑功能真值表1. 1. casecase语句语句case语句的一般表式是:语句的一般表式是:case iswhen = ; . ; ;when = ; . ; ;.end case ;2. 2. 标准

32、逻辑矢量数据类型标准逻辑矢量数据类型std_logic_vectorstd_logic_vector3. 3. 并置操作符并置操作符 以下是一些并置操作示例:以下是一些并置操作示例:signal a : std_logic_vector (3 downto 0) ;signal d : std_logic_vector (1 downto 0) ; .a = 1 0 d(1) 1 ; - 元素与元素并置,并置后的数组长度为元素与元素并置,并置后的数组长度为4 .if a d = 101011 then . - 在在if条件句中可以使用并置符条件句中可以使用并置符 5.3.1 半加器描述和半加器

33、描述和case语句语句在使用std_logic_vector中,必须注明其数组宽度,即位宽,如: b : out std_logic_vector(7 downto 0) ; 或或 signal a :std_logic_vector(1 to 4)5.3.1 半加器描述和半加器描述和case语句语句【例5-20】 library ieee ;-或门逻辑描述 use ieee.std_logic_1164.all; entity or2a is port (a, b :in std_logic; c : out std_logic ); end entity or2a; architectur

34、e one of or2a is begin c = a or b ; end architecture fu1;【例5-21】library ieee; -半加器描述(1)use ieee.std_logic_1164.all; entity adder is port (a, b : in std_logic; co, so : out std_logic); end entity adder; architecture fh1 of adder is begin so = not(a xor (not b) ; co = a and b ; end architecture fh1; 【

35、例5-22】library ieee; -半加器描述(2)use ieee.std_logic_1164.all; entity h_adder is port (a, b : in std_logic; co, so : out std_logic); end entity h_adder; architecture fh1 of h_adder is signal abc : std_logic_vector(1 downto 0) ;begin abc so=0; co so=1; co so=1; co so=0; co null ; end case; end process;end

36、 architecture fh1 ;【例5-22】 . -半加器描述(3) signal abc,cso : std_logic_vector(1 downto 0 ); begin abc = a & b ; co = cso(1) ; so cso cso cso csoain,b=bin,co=d,so=e); u2 : h_adder port map(a=e, b=cin, co=f,so=sum); u3 : or2a port map(a=d, b=f, c=cout); end architecture fd1;5.3.2 全加器描述和例化语句全加器描述和例化语句 元

37、件例化语句由两部分组成,第一部分是对一个现成的设计实体定元件例化语句由两部分组成,第一部分是对一个现成的设计实体定义为一个元件,语句的功能是对待调用的元件作出调用声明,它的最义为一个元件,语句的功能是对待调用的元件作出调用声明,它的最简表达式如下所示:简表达式如下所示: component 元件名元件名 is port (端口名表端口名表) ; end component 文件名文件名 ; 元件例化语句的第二部分则是此元件与当前设计实体元件例化语句的第二部分则是此元件与当前设计实体(顶层文件顶层文件)中中元件间及端口的连接说明。语句的表达式如下:元件间及端口的连接说明。语句的表达式如下: 例化

38、名例化名 : 元件名元件名 port map( 端口名端口名 = 连接端口名连接端口名,.);vhdl 设计流程 : v-s-f-phdlentryuse any text editor to input your designynthesisuse any vhdl compiler to convertyour language designto gate level withoptimization in termof speed / areaittingarchitecture synthesisto map the logicto altera device architecture

39、e.g. lut, carry/cascade chain, eab.(further logic optimization)erogr. down loadconfigure/programming the altera deviceand do on board debugging,prototyping or productionstep1:建立 工作库文件夹step2:输入设计项目原理图/vhdl文本代码step3:存盘,注意 原理图/文本取名step4:将设计项目设置成projectstep5:选择目标器件 step11: 硬件测试step9:引脚锁定并编译step8:仿真测 试和波

40、形分析step7:建立仿真波形文件step6:启动编译step10:编程 下载/配置vhdl文本输入设计流程 5.4 vhdl文本输入设计方法初步文本输入设计方法初步为设计全加器新建一个文件夹作工作库文件夹名取为my_prjct注意,不可用中文!5.4.1 编辑输入并保存编辑输入并保存vhdl源文件源文件新建一个设计文件使用文本输入方法设计,必须选择打开文本编辑器图图5-13 在文本编辑窗中输入在文本编辑窗中输入vhdl文件并存盘文件并存盘图图5-12 建立文本编辑器对话框建立文本编辑器对话框文本编辑窗用键盘输入设计文件:多路选择器存盘文件名必须取为:mux21a.vhd注意,要存在自己建立的

41、文件夹中文件存盘后,关键词将改变颜色!否则文件名一定有错!5.4.2 将当前设计设定为工程将当前设计设定为工程图图5-14 设定当前文件为工程设定当前文件为工程首先点击这里然后选择此项,将当前的原理图设计文件设置成工程最后注意此路径指向的改变注意,此路径指向当前的工程!首先选择这里器件系列选择窗,选择acex1k系列根据实验板上的目标器件型号选择,如选ep1k30注意,首先消去这里的勾,以便使所有速度级别的器件都能显示出来选择编译器编译窗5.4.3 选择选择vhdl文本编译版本号和排错文本编译版本号和排错图图5-15 设定设定vhdl编译版本号编译版本号选择此项选择vhdl1993项选择此项消

42、去这里的勾编译出错!5.4.3 选择选择vhdl文本编译版本号和排错文本编译版本号和排错图图5-16 确定设计文件中的错误确定设计文件中的错误打开错误提示窗错误所在错误所在改正错误完成编译!完成编译!首先选择此项,为仿真测试新建一个文件时序仿真时序仿真选择波形编辑器文件从从snf文件中文件中输入设计文件输入设计文件的信号节点的信号节点点点击击“list”snf文件中文件中的信号节点的信号节点用此键用此键选择左窗选择左窗中需要的信号中需要的信号进入右窗进入右窗最后点击最后点击“ok”消去消去这里的勾,这里的勾,以便方便设置以便方便设置输入电平输入电平在在options菜单中消去网格对齐菜单中消去

43、网格对齐snap to grid的选择的选择(消去对勾消去对勾) 选择选择end time调整仿真时间调整仿真时间区域。区域。选择选择65微秒微秒比较合适比较合适用此键用此键改变仿真改变仿真区域坐标到合适区域坐标到合适位置。位置。点点击击1,使拖黑,使拖黑的电平为高电平的电平为高电平先点击先点击b,将将其其点为黑色点为黑色然后先点击此处然后先点击此处将弹出时钟周期将弹出时钟周期设置窗设置窗设置输入信号设置输入信号b的周期为的周期为800ns设置输入信号设置输入信号a的周期为的周期为2us仿真波形文件仿真波形文件存盘!存盘!选择仿真器选择仿真器运行仿真器运行仿真器5.4.4 时序仿真时序仿真图图

44、5-17 mux21a仿真波形仿真波形引脚锁定引脚锁定可选择键可选择键8作为多作为多路选择器的输入路选择器的输入“s”选择实验电路结构图选择实验电路结构图6可选择输出可选择输出“y”的信号从扬的信号从扬声器输出声器输出信号信号a和和b输入输入两个不同频率两个不同频率的时钟信号的时钟信号键键8的引脚名的引脚名作为作为“s”信号信号键键8的引脚名的引脚名对应的引脚号对应的引脚号选择实验板上选择实验板上插有的目标器件插有的目标器件扬声器引脚号扬声器引脚号为:为:99信号信号b由由“clock0”输入输入时钟信号,时钟信号,引脚号为:引脚号为:126信号信号a由由“clock5”输入输入时钟信号,时钟

45、信号,引脚号为:引脚号为:56注意,对于注意,对于gwak30+板,板,时钟引脚必须查阅以下时钟引脚必须查阅以下 “时钟了解表时钟了解表1” 引脚对应情况引脚对应情况实验板位置实验板位置 多路选择器信号多路选择器信号 通用目标器件引脚名通用目标器件引脚名 目标器件目标器件ep1k30tc144引脚号引脚号 1、键、键8: s pio13 272、扬声器、扬声器 y speaker 993、时钟输入信号、时钟输入信号 b clock0 1264、时钟输入信号、时钟输入信号 a clock5 56选择引脚选择引脚锁定选项锁定选项引脚窗引脚窗此处输入此处输入信号名信号名此处输入此处输入引脚名引脚名按

46、键按键“add”即可即可注意引脚属性注意引脚属性错误引脚名将错误引脚名将无正确属性!无正确属性!再编译一次,再编译一次,将引脚信息将引脚信息进去进去选择编程器,选择编程器,准备将设计准备将设计好的半加器好的半加器文件下载到目文件下载到目器件中去器件中去编程窗编程窗在编程窗打开在编程窗打开的情况下选择的情况下选择下载方式设置下载方式设置选择此项下选择此项下载方式载方式下载(配置)下载(配置)成功!成功!5.4.5 硬件测试硬件测试选择电路选择电路模式为模式为“6”模式选择键模式选择键“s”为高为高电平电平注意时钟注意时钟频率选择频率选择clock5:a频率选择频率选择1024hzclock0:b

47、频率选择频率选择256hz实实 验验 实验实验5-1 简单组合电路的设计简单组合电路的设计(1) 实验目的:实验目的:熟悉熟悉max+plus的的vhdl文本设计流程全过文本设计流程全过程,学习简单组合电路的设计、多层次电路设计、仿真和硬程,学习简单组合电路的设计、多层次电路设计、仿真和硬件测试。件测试。( 2 ) 实 验 内 容实 验 内 容 1 : 首 先 按 照首 先 按 照 5 . 4 节 给 出 的 步 骤 , 利 用节 给 出 的 步 骤 , 利 用max+plus完成完成2选选1多路选择器的文本编辑输入多路选择器的文本编辑输入(mux21a.vhd)和仿真测试等步骤,给出图和仿真

48、测试等步骤,给出图5-17所示的仿真波所示的仿真波形。最后在实验系统上进行硬件测试,实际验证本项设计的形。最后在实验系统上进行硬件测试,实际验证本项设计的功能。功能。实实 验验 实验实验5-1 简单组合电路的设计简单组合电路的设计(3 )实验内容实验内容2:将将5.4节的多路选择器看成是一个元件节的多路选择器看成是一个元件mux21a,利用元件例化利用元件例化语句描述图语句描述图5-20,并将此文件放在同一目录,并将此文件放在同一目录e:muxfile中。以下是参考程序:中。以下是参考程序: library ieee; use ieee.std_logic_1164.all; entity m

49、uxk is port (a1,a2,a3,s0,s1 : in std_logic; outy : out std_logic ); end entity muxk; architecture bhv of muxk is component mux21a port ( a,b,s : in std_logic; y : out std_logic); end component ; signal tmp : std_logic; begin u1 : mux21a port map(a=a2,b=a3,s=s0,y=tmp); u2 : mux21a port map(a=a1,b=tmp,s=s1,y=outy); end architecture bhv ;实实 验验 实验实验5-1 简单组合电路的设计简单组合电路的设计 按照按照5.4节的步骤

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论