基于vhdl和硬件的八路竞赛抢答器毕业设计_第1页
基于vhdl和硬件的八路竞赛抢答器毕业设计_第2页
基于vhdl和硬件的八路竞赛抢答器毕业设计_第3页
基于vhdl和硬件的八路竞赛抢答器毕业设计_第4页
基于vhdl和硬件的八路竞赛抢答器毕业设计_第5页
已阅读5页,还剩20页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、赣南师院物理与电子信息学院课程设计报告书姓名: 谢昌军 班级: 06电子科学与技术 学号: 时间: 2009年 6月 15 日 论文题目基于vhdl和硬件的八路竞赛抢答器课程论文 要 求1. 抢答器同时供8名选手或8个代表队比赛,他们的编号分别是1,2,3,4,5,6,7,8。他们分别用一个开关控制,即分别用8个按钮S1,S2,S3,S4,S5,S6,S7,S8表示。2. 给节目主持人设置一个开关S9,用来控制系统的清零(选手编号显示数码管灯清零)。3. 抢答器具有数据锁存与显示功能。抢答开始后,若有选手按动抢答开关,相应选手编号在数码管上显示,同时显示抢答者抢答的时间。此外要封锁其他选手抢答

2、。优先抢答选手的编号一直保持到主持人将系统清零为止。4. 抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(此设为9秒,硬件为20秒)。5 参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。6如果抢答时间已到没人抢答则本次抢答无效,即9(硬件为30秒)秒没人抢答则报警,这时可以由主持人按系统清零开关以阻止报警。设计过程1. 设计思路(1) 设计思路方框图如图一所示:图1(2) 方案论证如下:方案一:分程序设计,把此程序分为抢答程序和计时程序;方案二:把计时间程序和抢答程序放入一个程序中完成。方案比较:分程序设计程序上

3、更简单,设计思路明了,但是本程序就整体而言比较简单,把程序放入一个程序中设计可以考验设计者的基本功,因此为了多学一点东西,本设计采用方案二。2软件设计过程如下2.1 本程序的设计可以分为如下步骤设计(1)数码管扫描设计即sel1的扫描设计,其设计过程如下进程所示:process(clk,s9) begin if s9=0then sel1=000; elsif clkevent and clk=1then if sel1/=111 then sel1=sel1+1; else sel1=000; end if; end if;end process;(2)计数分频设计(因为再设计中要用到10K

4、Hz的频率,而再计时当中必须是1HZ的频率,则必须做分频设计)其设计过程如下进程所示:process(s9,clk)beginif s9=0then jishu=000;elsif clkevent and clk=1thenif jishu/=9999 then jishu=jishu+1;else jishu=000;end if;end if;end process;process(CLK,jishu)begin IF CLKEVENT AND CLK=1THEN if jishu4999 then clk1hz=0;elsIF JISHU9999 THEN clk1hz=1;end i

5、f;END IF;end process;(3)允许锁存设计,其设计过程如下进程所示:process(S1,S2,S3,S4,S5,S6,S7,S8,S9) begin S=S1&S2&S3&S4&S5&S6&S7&S8; if(S9=0) then en=1; elsif(S /=) then en=0; end if;end process;(4)计时报警设计,其设计过程如下进程所示:process(s9,clk1hz,en)begin if s9=0then jishi=1001;baoj=0;elsif clk1hzevent and clk1hz=1then if en=1then

6、if jishi/=0000then jishi=jishi-1;else baoj=1; end if;end if;end if;end process;(5)抢答设计,其设计过程入下进程所示:process(EN,S1,S2,S3,S4,S5,S6,S7,S8,S9) begin if(S9=0) then D1=0000; elsif(en=1) then if(S1=0) then D1=0001; elsif(S2=0) then D1=0010; elsif(S3=0) then D1=0011; elsif(S4=0) then D1=0100; ELSIF(S5=0)THEN

7、 D1=0101; ELSIF(S6=0)THEN D1=0110; ELSIF(S7=0)THEN D1=0111; ELSIF(S8=0)THEN D1shuma1shuma1shuma1shuma1shuma1shuma1shuma1shuma1SHUMASHUMASHUMASHUMASHUMASHUMASHUMASHUMASHUMASHUMASHUMASHUMASHUMASHUMASHUMASHUMASHUMASHUMASHUMASHUMA=; end case; end process;end behave;总体设计过程如下所示:library ieee;use ieee.std_l

8、ogic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;-entity balu is port( S1,S2,S3,S4,S5,S6,S7,S8 : in std_logic; S9 : in std_logic; clk : in std_logic;-10khz的频率 sel2 : out std_logic_vector(2 downto 0);-数码控制 shuma : out std_logic_vector(6 downto 0);- 数码显示 baoj : out std_logic)

9、; end balu;-architecture behave of balu is signal en : std_logic; signal S : std_logic_vector(7 downto 0); signal D1 : std_logic_vector(3 downto 0); SIGNAL D : std_logic_vector(8 downto 0); signal sel1 : std_logic_vector(2 downto 0); signal jishu :std_logic_vector(13 downto 0); signal jishi : std_lo

10、gic_vector(3 downto 0); signal clk1hz :std_logic; signal shuma1 :std_logic_vector(8 downto 0); signal shuma2 :std_logic_vector(8 downto 0); signal dx :std_logic_vector(4 downto 0); begin dx=00000; process(clk,s9) begin if s9=0then sel1=000; elsif clkevent and clk=1then if sel1/=111 then sel1=sel1+1;

11、 else sel1=000; end if; end if; end process; process(s9,clk)beginif s9=0then jishu=000;elsif clkevent and clk=1thenif jishu/=9999 then jishu=jishu+1;else jishu=000;end if;end if;end process;process(CLK,jishu)begin IF CLKEVENT AND CLK=1THEN if jishu4999 then clk1hz=0;elsIF JISHU9999 THEN clk1hz=1;end

12、 if;END IF;end process; process(S1,S2,S3,S4,S5,S6,S7,S8,S9) begin S=S1&S2&S3&S4&S5&S6&S7&S8; if(S9=0) then en=1; elsif(S /=) then en=0; end if; end process; process(s9,clk1hz,en)begin if s9=0then jishi=1001;baoj=0;elsif clk1hzevent and clk1hz=1then if en=1then if jishi/=0000then jishi=jishi-1;else b

13、aoj=1; end if;end if;end if;end process;process(EN,S1,S2,S3,S4,S5,S6,S7,S8,S9) begin if(S9=0) then D1=0000; elsif(en=1) then if(S1=0) then D1=0001; elsif(S2=0) then D1=0010; elsif(S3=0) then D1=0011; elsif(S4=0) then D1=0100; ELSIF(S5=0)THEN D1=0101; ELSIF(S6=0)THEN D1=0110; ELSIF(S7=0)THEN D1=0111;

14、 ELSIF(S8=0)THEN D1=1000; end if;END IF; end process;process(clk)begin if clkevent and clk=1then shuma2=dx&jishi;D=D1&DX; sel2shuma1shuma1shuma1shuma1shuma1shuma1shuma1shuma1SHUMASHUMASHUMASHUMASHUMASHUMASHUMASHUMASHUMASHUMASHUMASHUMASHUMASHUMASHUMASHUMASHUMASHUMASHUMASHUMA=; end case; end process;e

15、nd behave;2.2.下载仿真: (1)根据上述程序在quartus上输入程序(2)编译仿真,其仿真结果如下所示(因为clk的频率为10KHz,再仿真上不能出现仿真的完全结果,因此就截下仿真的部分结果如下所示图2(3)管脚分布如图二所示:图3(4)下载到EDA实验箱上进行硬件仿真,其操作过程如下:打下K2,同时打上,计时的数码管在从9计时到0,在0无人抢答时报警发光二级光发光,再次打下K2后打上,按抢答开关,计时停止锁定,依次操作S1S8结果完全符合。3 硬件设计如下3.1. 设计思路(1)设计抢答器电路。(2)设计可预置时间的定时电路。(3)设计报警电路。 (4)设计时序控制电路。3.

16、2. 设计过程 (1)方案论证八路抢答器总体组成框图如下图4所示。图4其工作原理为:接通电源后,主持人将开关拨到“清除”状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主持人将开关置于“开始”状态,宣布“开始”抢答器工作。定时器开始计时。选手在规定时间(30秒)内抢答,抢答完成时:优先判断、编号锁存、编号显示、扬声器提示。当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示抢答的时间。如果再次抢答必须由主持人再次操作“清除”状态开关。图5电路设计(2)抢答器电路如图5所示。图5 数字抢答器电路该电路完成两个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显

17、示编号;二是禁止其他选手按键操作无效。工作过程:开关S置于“清除”端时,RS触发器的端均为,个触发器输出置,使74LS148的,使之处于工作状态。当开关S置于“开始”时,抢答器处于等待工作状态,当有选手将键按下时(如按下S5),74LS148的输出经RS锁存后,1Q=1,=1,74LS148处于工作状态,4Q3Q2Q=101,经译码显示为“5”。此外,1Q,使74LS148,处于禁止状态,封锁其他按键的输入。当按键松开即按下时,74LS148的此时由于仍为1Q,使,所以74LS148仍处于禁止状态,确保不会出现二次按键时输入信号,保证了抢答者的优先性。如有再次抢答需由主持人将开关重新置于“清除

18、”然后再进行下一轮抢答。喇叭(图6定时电路如图6所示。由节目主持人根据抢答题的难易程度,设定一次抢答的时间,通过预置时间电路对计数器进行预置,计数器的时钟脉冲由秒脉冲电路提供。可预置时间的电路选用十进制同步加计数器74LS90进行设计。报警电路如图4所示。由555定时器和三极管构成的报警电路如图4所示。其中555构成多谐振荡器,振荡频率fo1.43/(RI2R2)C,其输出信号经三极管推动扬声器。PR为控制信号,当PR为高电平时,多谐振荡器工作,反之,电路停振。喇叭(C)时序控制电路及报警电路设计如图4图73.3.时序电路设计时序控制电路是抢答器设计的关键,它要完成以下三项功能: 主持人将控制

19、开关拨到“开始”位置时,扬声器发声,抢答电路和定时电路进人正常抢答工作状态。 当参赛选手按动抢答键时,扬声器发声,抢答电路和定时电路停止工作。 当设定的抢答时间到,无人抢答时,扬声器发声,同时抢答电路和定时电路停止工作。图中,门G1 的作用是控制时钟信号CP的放行与禁止,门G2的作用是控制74LS148的输人使能端。图5的工作原理是:主持人控制开关从“清除”位置拨到“开始”位置时,来自图 2中的74LS279的输出 1Q=0,经G3反相, A1,则时钟信号CP能够加到74LS192的CPD时钟输入端,定时电路进行递减计时。同时,在定时时间未到时,则“定时到信号”为 1,门G2的输出=0,使 7

20、4LS148处于正常工作状态,从而实现功能的要求。当选手在定时时间内按动抢答键时,1Q1,经 G3反相, A0,封锁 CP信号,定时器处于保持工作状态;同时,门G2的输出=1,74LS148处于禁止工作状态,从而实现功能的要求。当定时时间到时,则“定时到信号”为0,=1,74LS148处于禁止工作状态,禁止选手进行抢答。同时,门G1处于关门状态,封锁 CP信号,使定时电路保持00状态不变,从而实现功能的要求。集成单稳触发器74LS121用于控制报警电路及发声的时间。总设计原理图如图喇叭图83.4.原理图的仿真与调试(1).根据总的原理图在ewb软件上进行原理图的编辑如下。图9(2).在web编

21、辑好原理图后进行原理图的信号仿真,在仿真时首先拨动一个开关,看输出的电压是否符合要求。如上图所示,分别拨动开关,仿真结果完全吻合设计所要求。3.5.硬件组装与调试 (1).根据总原理图焊电路板。捍的电路板如下所示(2).电路板捍完后拿到实验室进行调试功能。调试结果如下:分别按同时每按一次抢答开关,按主持人开以上调试的结果是在30秒内,个选手在某个时间上抢答的结果。结果与要求相同。(3).调试规定时间内无抢答时报警电路。(插上正负电压后,按动主持人的清零开关,看时间显示数码管上是否有从0到30的时间,然后拨动任意一个开关无效则成功。(4).调试抢答报警电路,拨动主持人开关清零,在30秒内,任意拨

22、动一个选手的抢答开关,看是否能显示该选手开关的编码同时看是否可以显示选手抢答的时间,如果都能显示则成功。重复上述步骤,调试其他的选手开关看是否有相同的功能,若功能相同则成功。(经调试完全成功)(5).调试封锁功能,当一个选手抢答了时,即清零后拨动了一个选手开关时看拨动其他选手开关是否会使显示数码管显示不同的编码。若不改变则成功。重复上述步骤看拨动其他选手开关时是否能实现相同的功能,若能实现相同的功能则成功。(经调试完全成功)4.主要仪器与设备 Eda实验箱,quartus软件,ewb软件,电脑;集成电路 74LS1481片,74LS902片,CD45113片,74LS001片,NE5551片,

23、电 阻 30021只,10K14只,电 容 0.1uF1只,10 uF2只,100 uF1只。三极管 3DG121只。其 它 共阴极数码显示器3只。5.实验心得与建议 5.1实验心得 八路抢答器作为一种电子产品,早已广泛应用于各种智力和知识竞赛场合,但目前所使用的抢答器存在分立元件使用较多,造成每路的成本偏高,而现代电子技术的发展要求电子电路朝数字化、集成化方向发展,因此设计出数字化全集成电路的多路抢答器是现代电子技术发展的要求笔者按照这一要求,并根据 八路锁存器的功能特点,用显示八路抢答器电路,该八路抢答器设计显示电路具有成本低、元器件容易得到、路数多、数码直观显示、性能稳定等诸多优点, 而

24、且该电路也可作数字集成电路应用的一个范例,来作为学习使用数字集成电路之用 八路抢答器设计原理 设计原理框图如图 所示,锁存器输入信号均为同一电平时,控制电路输出控制信号使锁存器打开,这时锁存器输入端的电平送往相应的输出端,当有一输 入端电平发生跳变时,其对应输出端电平也随着变,此 变化的输出电平送入控制电路,控制电路产生使锁存 器锁存的控制信号,锁存器一旦进入锁存工作状态,无论哪个输入端电平发生变化,各输出端电平均保持不变,与其它输出端电平不同的那个输出端的电平经编码器编码后送入数码显示译码器,控制驱动器驱动七段数码管进行数码显示,锁存器选用cd4511八路锁存器,编码器用 74ls148 三

25、线编码器,数码显示驱动器用bcd码七段译码器74ls247与共阳极七段数码管搭配,控制电路由八输入与非门 和一个或门、一个非门构成,或门用二输入四或门,非门 .通过这次对数字抢答器的设计与制作,让我了解了设计电路的程序,也让我了解了关于抢答器的基本原理与设计理念,要设计一个电路总要先用仿真仿真成功之后才实际接线的。但是最后的成品却不一定与仿真时完全一样,因为,再实际接线中有着各种各样的条件制约着。而且,在仿真中无法成功的电路接法,在实际中因为芯片本身的特性而能够成功。所以,在设计时应考虑两者的差异,从中找出最适合的设计方法。此外,本实验也可通过EDA软件MAX PLUS实现。通过这次学习,让我对各种电路都

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论